assign #1 data = (err_word == err_cnt) ? ({(err_byte == 4'h3) ? 8'b0 : tx_data_gen[31:24], (err_byte == 4'h2) ? 8'b0 : tx_data_gen[23:16], (err_byte == 4'h1) ? 8'b0 : tx_data_gen[15:8], (err_byte == 4'h0) ? 8'b0 : tx_data_gen[7:0]}) : tx_data_gen;