library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library work; entity tb_AD7960 is end tb_AD7960; architecture tb of tb_AD7960 is -- inside FPGA signal clk250 : std_logic := '0'; signal data_ready : std_logic := '0'; signal data : std_logic_vector(17 downto 0) := (others => '0'); -- ADC <-> FPGA signal EN : std_logic_vector(3 downto 0) := (others => '0'); signal CLKp : std_logic := '0'; signal CLKn : std_logic := '0'; signal CNVp : std_logic := '0'; signal CNVn : std_logic := '0'; signal DCOp : std_logic := '0'; signal DCOn : std_logic := '0'; signal Dp : std_logic := '0'; signal Dn : std_logic := '0'; begin clk250 <= not clk250 after 2 ns; inst_AD7960 : entity work.AD7960 port map ( CLK250 => clk250, data_ready => data_ready, data => data, EN => EN, CLKp => CLKp, CLKn => CLKn, CNVp => CNVp, CNVn => CNVn, DCOp => DCOp, DCOn => DCOn, Dp => Dp, Dn => Dn ); inst_AD7960_sim : entity work.AD7960_sim port map ( CLKp => CLKp, CLKn => CLKn, CNVp => CNVp, CNVn => CNVn, DCOp => DCOp, DCOn => DCOn, Dp => Dp, Dn => Dn, EN => EN ); end;