library ieee; use ieee.std_logic_1164.all; entity test5 is port( a,b,s: in std_logic; f : out std_logic); end test5; architecture mux of test5 is begin f<= (not s and a) or (s and b); end mux;