Info: ******************************************************************* Info: Running Quartus II Analysis & Synthesis Info: Version 10.0 Build 262 08/18/2010 Service Pack 1 SJ Web Edition Info: Processing started: Wed Nov 03 21:16:08 2010 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off test5 -c test5 Info: Found 2 design units, including 1 entities, in source file test5.vhd Info: Found design unit 1: test5-mux Info: Found entity 1: test5 Error: Can't compile duplicate declarations of entity "test5" into library "work" Error: Instance could be entity "test5" in file test5.vhd Error: Instance could be entity "test5" in file ../test5.bdf Info: Found 1 design units, including 1 entities, in source file /altera/test5.bdf Error: Quartus II Analysis & Synthesis was unsuccessful. 3 errors, 0 warnings Error: Peak virtual memory: 243 megabytes Error: Processing ended: Wed Nov 03 21:16:10 2010 Error: Elapsed time: 00:00:02 Error: Total CPU time (on all processors): 00:00:02 Error: Quartus II Full Compilation was unsuccessful. 5 errors, 0 warnings