Forum: FPGA, VHDL & Co. Generisch Ports erzeugen ?


von Hans-Werner (Gast)


Lesenswert?

Vielleicht habe ich das Problem nicht verstanden.
Ich bitte daher um Nachsicht.
In einer Entity werden mittels generate mehrere Instanzen (?) einer 
anderen Entity erzeugt. Jeder dieser Instanzen liefert einen 
std_logic_vector am Ausgangsport. Nun soll in der übergeordneten Instanz 
für jede der Instanzen der generierten Entity ein Ausgangsport erzeugt 
werden.
Also entweder erzeuge:
port_1 : out std_logic_vector (0 bis ende);
port_2 : out std_logic_vector (0 bis ende);
            .
port_n : out std_logic_vector (0 bis ende);
Oder erzeuge:
port : out array (0 bis n) of std_logic_vector(0 bis ende);
Die Anzahl der Ports bzw. n soll also variabel sein; generisch erzeugt.
Bzw. wie erzeuge ich einen Port von Type array of std_logic_vector ?
Bisher habe ich nur Ports vom Type std_logic_vector gesehen wo die Länge 
des Vectors generisch verändert wurde.

Danke

von Jan M. (mueschel)


Lesenswert?

Arrays als Ports sind möglich, müssen allerdings in einem package zuerst 
definiert werden.

Die einfache Lösung ist:
port : out std_logic_vector (0 bis breite*n-1);
Und dann das ganze extern in einzelne Signale aufteilen (falls nötig), 
oder auf die entsprechenden Abschnitte direkt zugreifen.

von Hans-Werner (Gast)


Lesenswert?

Danke

Der Gedanke ist mir auch schon gekommen.
Hatte aber gedacht es gäbe eine bessere bzw. einfachere Lösung.
Na dann wollen wir mal slicen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.