Forum: Analoge Elektronik und Schaltungstechnik Rechteck -> Sinus, Dreieck, Sägezahn(!)


von Paul H. (powl)


Lesenswert?

Hi!

Ich möchte mir einen Funktionsgenerator basteln und daher aus einem 
Rechtecksignal die genannten Signalformen Sinus, Dreieck und vor allem 
Sägezahn erzeugen. Es soll aber ein relativ steilflankiger Sägezahn 
werden.

Rechteck zu Dreieck geht ja mit einem Integrator. Wie sieht es da 
allerdings mit der Amplitude aus? Die Steilheit müsste immer genau so 
sein, dass die Spannung beim umschalten des Rechtecks ihr 
Maximum/Minimum erreicht. Ansonsten würde die Amplitue mit höherer 
Frequenz ja immer kleiner werden.

Wie siehts beim Sinus aus, wie macht man den? Ausserdem eine weitere 
Schwierigkeit, wie erzeugt man aus irgendeiner dieser Signalform einen 
steilflankigen Sägezahngenerator?

Das ganze soll halt auf der Basis des bestehenden Rechtecks (wird von 
einem µC erzeugt) aufgebaut werden. Das ganze muss natürlich nicht so 
ablaufen, ich möchte die Frequenz nur mit einem µC bestimmen können. 
Oder ist es ratsamer einen Funktionsgenerator ganz analog aufzubauen und 
dann die Frequenz per µC zu messen? Dann fallen leider so sachen wie 
Sweep, PWM.. aus

lg PoWl

von Matthias L. (Gast)


Lesenswert?

DDS?

von Heinz T.K. (Gast)


Lesenswert?

Ist fummelig.

Analog diskret:
Beitrag "signal- bzw. funktionsgenerator mit OPs"

Analog integriert:
alt ..038
nicht mehr hergestellt MAX038
noch hergestellt XR2206

Tendenz ist aber DDS, z.B. AD9833 - die anderen sind schneller, aber 
geben meist nur Sinus aus.

Kann man auch genug dran basteln.

Sinus aus Dreieck mit Sinus-Funktionsnetzwerk.

Oszillatoren gibt's ne Menge.

halbleiterschaltungstechnik von springer (tietzeschenk)kann man auch bei 
google books teilweise angucken. oder runterladen. steht ne menge drin.

von Paul H. (powl)


Lesenswert?

Danke, ich les mich da mal rein.

an DDS dachte ich auch schon, allerdings lässt sich damit wohl leider 
kein schöner Dreieck oder Sägezahn erzeugen, oder? Ist der dann nicht 
überall abgerundet?

Das blöde an den Funktionsgenerator IC's ist, dass man sie nur in Stufen 
umschalten kann. Also nicht mal von 1Hz-20kHz durchfahren.

von Lupin (Gast)


Lesenswert?

Deine Signale werden halt stufiger wenn du DDS verwendest. Aber bei den 
heute verfügbaren DA Auflösungen...

Mit einer Analogen Schaltung steht man halt vor anderen Problemen.

Wenn du die DDS direkt vom AVR machen lässt bist du mit der Frequenz 
leider ziemlich beschränkt, aber ansonsten hast du halt die Möglichkeit 
alles an zu passen wie du das möchtest:
http://www.mikrocontroller.net/articles/Digitaler_Funktionsgenerator

von Paul H. (powl)


Lesenswert?

Frequenzbereich sollte so bis 20..25kHz gehen.
Fertige DDS-chips können kein Rechteck, oder?

Ich denke ein AVR ist einfach zu langsam für sowas :-( Da bräuchte ich 
einen DDS-Chip, aber welcher kann schon alle 4 Signalformen?

Das war auch der Grund warum ich diese halbanaloge Lösung nehmen wollte.

von Helmut L. (helmi1)


Lesenswert?

In dem Beitrag habe ich beschrieben wie man aus einem DDS Generator ein 
Dreieck Signal erzeugt. Um daraus einen Saegezahn zu machen braucht man 
nur bei der maximal Amplitude das Signal zu invertieren.

Beitrag "Sinus nach Dreieck konvertieren"

Gruss Helmi

von Martin L. (Gast)


Lesenswert?

Sinus durch filtern des Rechtecks. (Nur Grundwelle durchlassen.) Dreieck 
einfach durch integrieren des Rechtecksignales. (In Bezug auf die Vpp/2) 
Man bekommt dann zwar ein Dreiecksignal mit halber Frequenz - aber das 
kann man ja idR. verschmerzen weil man die Eingangsfrequenz verdoppeln 
kann.
Ansonsten finde ich es ganz gut, dass jemand sowas mal ohne DDS aufbauen 
will weil man dabei viel mehr lernen kann.
Und der Klirrfaktor von guten LC-Oszillatoren ist auch von einer guten 
DDS noch nicht erreicht ;)

Viele Grüße,
 Martin L.

von Helmut L. (helmi1)


Lesenswert?

>Sinus durch filtern des Rechtecks. (Nur Grundwelle durchlassen.)

Dazu brauchst du aber einen durchstimmbaren Bandpass. Und der ist 
aufwendig.


>Dreieck einfach durch integrieren des Rechtecksignales.

Auch da ist die Amplitude frequenzabhaengig.

Normalerweise erzeugt man die verschiedenen Signalformen aus einem 
Dreiecksignal.

Beitrag "signal- bzw. funktionsgenerator mit OPs"

Gruss Helmi

von Paul H. (powl)


Lesenswert?

Da dürfte dann aber wieder das Problem bestehen, dass ich die Frequenz 
des Dreieckgenerators nicht mit dem µC direkt bestimmen kann, sondern 
über die Steilheit einstellen muss (FET?).

PWM geht dann leider auch nicht. Müsste ich dann halt als Extrapin am 
AVR abgreifen. Im Endeffekt eignetlich wieder der gleiche Aufwand, oder?

lg PoWl

von Helmut L. (helmi1)


Lesenswert?

>Da dürfte dann aber wieder das Problem bestehen, dass ich die Frequenz
>des Dreieckgenerators nicht mit dem µC direkt bestimmen kann, sondern
>über die Steilheit einstellen muss (FET?).

Es ist halt nicht einfach eine guten Funktionsgenerator zu bauen.
Was du tun kannst ist folgendes vorrausgesetz du nimmst keinen DDS Chip:

Der Dreieckgenerator ist ja Spannungsgesteuert den kannst du ja mit 
deiner PWM aus der vorher mittels Tiefpass eine Gleichspannung gemacht 
wurde in seiner Frequenz steuern. Um nun zu einer stabilen Frequenz zu 
kommen kannst du die Frequenz ja von deinem AVR messen lassen. Mittels 
dieser gemessenen Frequenz und deiner Frequnzvorgabe kannst du ja einen 
Regler aufbauen (in Software) der dir die Ausgangsfrequenz stabil haelt. 
Sozusagen eine Software PLL.

Nicht umsonst ist in professionellen Geraeten ein erheblicher Aufwand zu 
finden.

Gruss Helmi

von Paul H. (powl)


Angehängte Dateien:

Lesenswert?

Was haltet ihr eigentlich vom ICL8038? Der kann so wies aussieht alles 
was ich möchte und ist Spannungsgesteuert. Der Dutycycle lässt sich so 
wies ausschaut auch einstellen und damit Sägezahn und lustig verformte 
sinüsse erzeugen.

Scheint zwar schon uralt zu sein aber für mich vielleicht genau das 
richtige. Was meint ihr? Wo könnte man den bekommen?

oder der XR-2206, aber der ist nicht Spannungsgesteuert soweit ich das 
erkenne, richtig?


lg PoWl

von Helmut L. (helmi1)


Lesenswert?

>aber der ist nicht Spannungsgesteuert soweit ich das
>erkenne, richtig?

Doch ist er schon . Ueber den Strom der an Pin 7 oder 8 rausfliest 
kannst du dir Frequenz bestimmen. Steht auch im Datenblatt dazu unter 
dem Kapitel

Frequency sweep and Modulation


ICL8038 wirst du wahrscheinlich fast nirgendswo mehr bekommen.

XR2206 beim 'R'

Auch hier kannst du zwecks Frequenzstabilisierung per Software eine PLL 
erstellen.

Gruss Helmi

von Paul H. (powl)


Lesenswert?

OK, da sieht schonmal nicht schlecht aus :-) Ich bestell mir so ein Ding 
mal und experimentiere damit rum.

Danke für die Auskünfte!

von Simon K. (simon) Benutzerseite


Lesenswert?


von Fred (Gast)


Lesenswert?

huch ist der .....

Verfasser: Andreas Schwarz
Leistungskurs: Physik
Kursleiter: Ottmar Lemke
Abgabetermin: 3. Februar 2003

                  ..... jung

von Paul H. (powl)


Lesenswert?

vor allem, wieso machen die sowas im Physik LK? Wir machen da nicht 
sowas tolles. Bei uns sind die Schüler noch alle dumm und können nicht 
selbst denken.

von Benedikt K. (benedikt)


Lesenswert?

Simon K. wrote:
> http://www.mikrocontroller.net/articles/Digitaler_Funktionsgenerator für
> die, die es noch nicht kennen.

Da würde ich eher das hier verwenden:
http://www.myplace.nu/avr/minidds/
Das ist um den Faktor 10 schneller. Allerdings benötigt man dann für 
Anzeige usw. einen zweiten µC. Wenn man die Kurvenform in den RAM Pakt 
kann man jede beliebige Kurvenform laden. Dies läuft bei mir mit etwa 
2,5MHz Samplerate. Bis 100kHz ist der Sinus also ziemlich sauber. Bei 
25kHz sind es sogar noch 100 Samples/Periode. Da sollten alle 
Kurvenformen gut aussehen.
Ein Problem hat man allerdings: Für Sinus benötigt man ein Filter, dass 
maximal etwa bei halber Samplerate dicht macht. Für die anderen 
Frequenzen (vor allem Rechteck) benötigt man eigentlich kein so 
niederfrequentes Filter, es stört sogar eher.

Paul Hamacher wrote:
> vor allem, wieso machen die sowas im Physik LK? Wir machen da nicht
> sowas tolles. Bei uns sind die Schüler noch alle dumm und können nicht
> selbst denken.

Was erwartest du, wenn sich die Schüler nur noch mit Playstation und Co 
beschäftigen ?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.