Forum: FPGA, VHDL & Co. ISE ERROR ConstraintSystem


von Frank S. (Firma: Keine) (franks)


Lesenswert?

Hallo,
ich Programmiere gerade einen Spartan3 mit dem ISE V10.0

Deswegen Programmiere ich mir auch gerne mal paar Leitungen nach draußen
um zu schauen was der FPGA gerade macht...

Nun nehme ich alles wieder raus, raus aus ucf Datei, auch in entity main 
is,
die ports.. auch die Signale nehme ich wieder raus.

Aber bekomme immer wieder den fehler. (siehe unten)
erst wenn ich das Projekt neu aufsetze kann ich weiter machen.

Weiß einer von euch was das ist ???


ngdbuild ERROR ConstraintSystem:59 - Constraint <NET "Clk_SDp" S>: NET 
"Clk_SDp" not found. Please verify that: 1. The specified design element 
actually exists in the original design. 2. The specified object is 
spelled correctly in the constraint source file.

ngdbuild ERROR ConstraintSystem:59 - Constraint <NET "Clk_FBp" S>: NET 
"Clk_FBp" not found. Please verify that: 1. The specified design element 
actually exists in the original design. 2. The specified object is 
spelled correctly in the constraint source file.

ngdbuild ERROR ConstraintSystem:59 - Constraint <NET "Clkp" S>: NET 
"Clkp" not found. Please verify that: 1. The specified design element 
actually exists in the original design. 2. The specified object is 
spelled correctly in the constraint source file.



Gruß Frank

von Jan M. (mueschel)


Lesenswert?

>Weiß einer von euch was das ist ???

Normal bei ISE... Meist hilft aber ein Project -> Cleanup Project Files, 
um die ganzen temporären Dateien, die noch das falsche Netz enthalten, 
zu löschen.

von Frank S. (Firma: Keine) (franks)


Lesenswert?

Moin... Danke für deine Antwort.

Genau --> temporären Dateien,
man denkt das der noch die alten netze gespeichert hat. Dein Tipp werde 
ich gleich testen.


Gruß Frank

von Frank S. (Firma: Keine) (franks)


Lesenswert?

Danke.
Der Tipp war gut !!!



Gruß Frank

von Philipp (Gast)


Lesenswert?

Hallo! Habe gerade das gleiche Probleme nur arbeite ich mit nem 
CoolRunner 2 aber die gleiche ISE. Mit Cleanup bekomme ich das nicht 
hin. Habt ihr für mich nen Tip?

Danke schon mal im vorraus.

Gruß Philipp

von Georg A. (Gast)


Lesenswert?

Bei FPGAs hilft es, die Environmentvariable XIL_MAP_LOCWARN auf 1 zu 
setzen, damit im UCF vorhandene, aber in der Netzliste nicht 
existierende Pins nur eine Warning geben. Ist für Probes etc. schon 
praktisch, aber man sollte die Warnings trotzdem hin und wieder mal 
anschauen...

von Philipp (Gast)


Lesenswert?

Sooo....
ich habe jetzt mal das SP3 installiert und ruck zuck noch ein Clean 
Proj. hinterher und nun klappt es.

Vielen Dank trodzdem

Gruß Philipp

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.