Forum: FPGA, VHDL & Co. .ucf file erstellen für Altium Eval-Board


von Peter M. (petermueller)



Lesenswert?

Hallo,

ich bin gerade dabei, mich in die Thematik FPGA einzuarbeiten. Dazu habe 
ich mir ein Altium Evalboard mit einem SPARTAN3 drauf besorgt.

Wenn ich den Altium Designer startet und ein Demoprojekt in den FPGA 
lade, funktioniert auch alles ganz gut. Jedoch läuft die AD Demoversion 
ja irgendwann aus und somit will ich eigentlich mit den freien Xilinx 
Tools arbeitet... . Aber:

Schopn bei meinem ersten 'Hallo Welt' versuch stoße ich auf die Frage: 
Wie bringe ich denn dem Compiler bei, an welchem Pad die Taster und wo 
die LEDs angehängt sind? Wenn ich das richtig durchschaut habe, steht 
das im .ucf file?

Aber wenn ich das .ucf file öffne, dann stehen dort zwar schon die 
vectoren, die ich eingeführt habe, aber ich kann dort nirgendwo 
eintragen LED0 ---> PAD_W2 oder so.

Kann mir jemand einen Tipp geben? Suche ich an der falschen Stelle?

Mein 'Code' sieht so aus:
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.STD_LOGIC_ARITH.ALL;
4
use IEEE.STD_LOGIC_UNSIGNED.ALL;
5
6
---- Uncomment the following library declaration if instantiating
7
---- any Xilinx primitives in this code.
8
--library UNISIM;
9
--use UNISIM.VComponents.all;
10
11
entity test1 is
12
Port   (S: in bit_vector (7 downto 0);
13
    D: out bit_vector (7 downto 0));
14
end test1;
15
16
architecture Behavioral of test1 is
17
18
begin
19
20
D <= S;
21
22
end Behavioral;

von Peter M. (petermueller)



Lesenswert?

...ups, das mit dem Anhang hat nicht geklappt. Jetzt ist besser.

Peter

von Thomas (Gast)


Lesenswert?

Am Besten lässt du dir einmal das UCf von der IDE erstellen und lernst, 
damit umzugehen. Dann schmeisst Du die IDE weg. Ansonsten passiert es 
Dir andauernd, daß Dir die IDE was aus dem UCF wegschmeisst.

von Peter M. (petermueller)


Lesenswert?

Du meinst also, das ucf mit dem Altium Designer erstellen und Xilinx ISE 
unterjubeln? Meinst Du, dass das kompatibel ist?

Peter

von Thomas (Gast)


Lesenswert?

Jetzt habe ich den Anhang gesehen: Mit "group" dürfte eine PAd-Zuweisung 
kaum gehen, denke ich ; -) höchsten Bankzuweisungen. Du brauchst eine 
andere Registerkarte. (und mach mal das bonbon-Windows klickibunti weg, 
man kriegt ja Augenkrebs!)

von Peter M. (petermueller)


Lesenswert?

Womit arbeitest Du? Xilinx ISE 4linux? Läuft das unter Linux besser?

Im Moent geht bei mir gar nichts mehr: Irgendwie bekomme ich nur noch 
Fehlermeldungen, wenn ich den ISE ein .ucf file unterschiebe. Bin zur 
Zeit noch auf der Suche nach einer guten Schritt-für-Schritt Anleitung, 
wie man sien erstes 'HalloWelt' Ding in den Spartan bekommt.

Peter

von Rick Dangerus (Gast)


Angehängte Dateien:

Lesenswert?

Der Altium Designer hat ein eigenes allgemeines Format für Constraints, 
was dann für die jeweilige Zielarchitektur konvertiert wird (bei Xilinx 
in ucf). Vielleicht hilft Dir ein Texteditor und der Wiki-Artikel 
weiter: UCF-Dateien

Falls Du das LiveDesign-Board hast, könnte der Anhang für Dich 
interessant sein.

Rick

von Peter M. (petermueller)


Lesenswert?

OK, danke für den anhang. Ich habe das LiveDesign Board und werde das 
gleich mal nutzen.

Danke noch einmal!

Peter

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.