Hallo,
ich bin gerade dabei, mich in die Thematik FPGA einzuarbeiten. Dazu habe
ich mir ein Altium Evalboard mit einem SPARTAN3 drauf besorgt.
Wenn ich den Altium Designer startet und ein Demoprojekt in den FPGA
lade, funktioniert auch alles ganz gut. Jedoch läuft die AD Demoversion
ja irgendwann aus und somit will ich eigentlich mit den freien Xilinx
Tools arbeitet... . Aber:
Schopn bei meinem ersten 'Hallo Welt' versuch stoße ich auf die Frage:
Wie bringe ich denn dem Compiler bei, an welchem Pad die Taster und wo
die LEDs angehängt sind? Wenn ich das richtig durchschaut habe, steht
das im .ucf file?
Aber wenn ich das .ucf file öffne, dann stehen dort zwar schon die
vectoren, die ich eingeführt habe, aber ich kann dort nirgendwo
eintragen LED0 ---> PAD_W2 oder so.
Kann mir jemand einen Tipp geben? Suche ich an der falschen Stelle?
Mein 'Code' sieht so aus:
1 | library IEEE;
|
2 | use IEEE.STD_LOGIC_1164.ALL;
|
3 | use IEEE.STD_LOGIC_ARITH.ALL;
|
4 | use IEEE.STD_LOGIC_UNSIGNED.ALL;
|
5 |
|
6 | ---- Uncomment the following library declaration if instantiating
|
7 | ---- any Xilinx primitives in this code.
|
8 | --library UNISIM;
|
9 | --use UNISIM.VComponents.all;
|
10 |
|
11 | entity test1 is
|
12 | Port (S: in bit_vector (7 downto 0);
|
13 | D: out bit_vector (7 downto 0));
|
14 | end test1;
|
15 |
|
16 | architecture Behavioral of test1 is
|
17 |
|
18 | begin
|
19 |
|
20 | D <= S;
|
21 |
|
22 | end Behavioral;
|