Forum: FPGA, VHDL & Co. Modelsim Testbench in VHDL


von Sebastian (Gast)


Lesenswert?

Hallo,

Ich habe ein Design für einen Cyclone 2 erstellt und möchte dort einige 
Entities mit Modelsim testen. Nun benötige ich eine Testbench in VHDL, 
die mir die Eingangssignale für die Enttitys erzeugt. Hat jemand ein 
Beispiel, an dem man sehen kann wie man soetwas in VHDL macht?

Danke!

von Chef (Gast)


Lesenswert?

Du brauchst eine leere Entity ohne Ports, die Dein Design als Komponente 
einfügt. Ferner benötigst du noch den Rest des PCB, z.B. Clock 
Generator, Prozessor, Serielle Bausteine etc.

Diese werden als Funktionelle Bausteine / Modelle implementriert, z.B. 
kann ein Clock Generator nur aus aus einem Prozess bestehen, wo ein 
Signal per wait auf 0 und 1 geschaltet wird.

Xilnix baut doch automatische Testbenches um die entites herum, da 
schaust du am Besten mal.

von PS (Gast)


Lesenswert?


von hugo (Gast)


Lesenswert?

Du kannst dir mit Modelsim das Grundgerüst der Testbench generien lasse.
rechtsklick -> show language templates -> create Testbench.
hier auswehlen für welche entity er die bench erstellen soll. fertig.
nun brauchst du nur noch die signale generieren.

process
  begin
   loop
    input <= '1';
    wait for 10 ns;
    input <= '0';
    wait for 10 ns;
   end loop
end process;

von Sebastian (Gast)


Lesenswert?

Danke für den Tip, das habe ich auch soweit gefunden. Wenn ich dann eine 
Testbench erstellen lassen will, muss ich eine Design Unit angeben. Da 
finde ich meine vhdl Datei jedoch nicht. Wie kann ich meine VHDL dort 
einbinden?

Danke!

von Christian R. (supachris)


Lesenswert?

Hast du denn im Modelsim ein Projekt erstellt und alle deine VHDL 
Dateien dem Projekt hinzugefügt und kompilieren lassen?

von Sebastian (Gast)


Lesenswert?

Ahso,ok erst ein projekt erstellen. Reicht es, wenn ich nur die Dateien 
zum Modelsim Projekh hinzufüge, die ich auch simulieren möchte? Oder 
muss ich alle anderen vhdl dateien auch hinzufügen? Den NIOS 
beispielsweise möchte ich nicht simulieren.

Danke!

von Christian R. (supachris)


Lesenswert?

Die, die du simulieren willst, incl. aller Dateien, in denen sich 
instanziierte Module befinden.

von Sebastian (Gast)


Lesenswert?

Hallo,

Also ich habe jetzt ein Projekt angelegt, wo meine VHDL Datei importiert 
wurde. Diese Datei kann ich dann auch ohne probleme im ModelSim 
kompilieren. Leider finde ich jetzt die option mit den language 
templates nichtmehr wieder.

von Christian R. (supachris)


Lesenswert?

Kann das nicht auch das DesignTool für den Cyclone? Also die Xilinx ISE 
kann das direkt. Ich denke, das geht da auch....

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.