Forum: FPGA, VHDL & Co. Welche standardmässigen Ports für eigene Komponenten ?


von Hans-Werner (Gast)


Lesenswert?

Welche standardmässigen Ports sollte man eigenen Komponenten spendieren 
?
Existiert so etwas wie ein Standardschema ?
Manche Ports bzw. Signale tauchen ja immer wieder auf z.B.:
clock, enable, clock_enable, write_enable, read_enable, ready, error 
usw.
Für welche Signale bzw. Ports brauche ich einen zusätzlichen Enable Port 
?
Wie ist der Standardablauf ?
1. Komponente initialisiert sich und meldet --> ready oder error
2. Komponente wird "freigeschaltet" enable und geht in den Zustand idle
                   ???

von Rick Dangerus (Gast)


Lesenswert?

Zu den Ports:
Clock und Reset sind klar. Für den Rest nimmt man gern einen _in und 
einen _out record. Die record-Definition wandert in ein package. Das 
macht das erweitern und durchrouten von Signalen sehr einfach.

Rick

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.