Forum: FPGA, VHDL & Co. Konvertierung: std_ulogic_vector -> integer


von Daniel (Gast)


Lesenswert?

Hallo,
ich hab folgendes Problem:

Ich moechte einen std_ulogic_vector in einen integer konvertieren
(mit der numeric_std - lib!)

Hier sind die wesentlichen Teile des Codes dargestellt:
1
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
5
signal hex_number : std_ulogic_vector(7 downto 0);
6
signal chksum_reg : integer range 0 to 255;
7
8
chksum_reg <= to_integer(unsigned(to_stdlogicvector(hex_number)));

Folgender Fehler wird angezeigt:
identifier (UNSIGNED) is not visible, as it is directly visible via use 
clauses from more than one design unit. This results in conflict. This 
object is defined at:
IEEE.STD_LOGIC_ARITH
IEEE.NUMERIC_STD

zum Vergleich mit der ieee.std_logic_arith.all - lib 
(funktionstuechtig):
chksum_reg <= conv_integer(unsigned(hex_number));

Vielleicht kann mir jemand da weiterhelfen? - Danke im voraus!

von Herr Huber (Gast)


Lesenswert?

Du must dem CONV noch angeben, wie breit er den Vektor machen soll, 
glaube ich.

von einanderergast (Gast)


Lesenswert?

probier doch mal das hier
1
chksum_reg <= to_integer(unsigned(hex_number(7 downto 0)));
Bin leider schon zu hause und hab die Programme net hier

Gruß

von ste (Gast)


Lesenswert?

chksum_reg <= to_integer(unsigned(hex_number));

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.