Forum: FPGA, VHDL & Co. Beschreibung von den Wave-vektoren


von Gast (Gast)


Angehängte Dateien:

Lesenswert?

hallo zusammen

vielleicht könnte jemand mir helfen.
ich muss ein VHDL Programm für die beide Simulation des State Machines 
schreiben. was wollte von euch nur die Beschreibung von den Beiden 
Simulationen damit bisschen was vorbereiten für mein nächste Praktikum.

danke im Vorraus

von Gast (Gast)


Lesenswert?

und diese Automaten sind mit drei Zuständen

von Luke (Gast)


Lesenswert?

-> Dateiformat für Anhänge..

von Falk B. (falk)


Lesenswert?


von Gast (Gast)


Angehängte Dateien:

Lesenswert?

hier ist noch mal

von Gast (Gast)


Angehängte Dateien:

Lesenswert?

ich hoffe dass die Bilder euch bißschen helfen.
danke

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Was genau erwartest du jetzt? Deine Hausaufgaben wird dir hier niemand 
machen, du musst schon eine konkrete Frage stellen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.