Forum: FPGA, VHDL & Co. DE1 ALTERA BOARD


von h2o2 (Gast)


Lesenswert?

Hallo,

ich bin Neuling in Sachen Altera Board.

Wie stelle ich den Takt der PPLs?

Wie kann ich den Takt eines der PPLs auf einen Pin des IDE Ausgangs 
legen?

Vielen Dank für Eure Hilfe!

Grüße

h2o2

von martin (Gast)


Lesenswert?

Hallo,

leider lässtu Du uns da sehr viel spielraum zum Raten.
Ich rate mal eifrig drauf los:
Du nutzt Quartus II, schreibst in VHDL

Im Quartus kannst Du ja unter Tools->MegaWizzard Plugin Manager die 
PLL's erstellen. Anschließend musst Du den generierten VHDL Block 
einbinden. Hierbei hilft Dir sicherlich die Suche nach "COMPONENT" 
weiter. Das Ausgangsclocksignal kannst Du dann einem Ausgangspin 
zuweisen.

Für weitere Antworten wird wohl eine konkretere Fragestellung 
benötigt...

von BerndS (Gast)


Lesenswert?

Wie das einbinden von anderer Komponenten funktioniert habe ich in 
diesem Thread schon demonstriert.

Beitrag "Einbinden mit component geht nicht."

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.