Hallo Zusammen, hat jemand ein Ersatzschaltbild von einem flankengetriggerten D-Flip-Flop???(3 Eingänge: 1 für D, 1 für clk und 1 für Reset) Danke
Mit Gattern. ich brauche es für ein Xilinx system generator Model.
So kenne ich den Aufbau: D J .---. _ ---------o----------------------------------|& | S .---. \|/ | |O----------|& | .-| | | |O--o----Q .---. .---. .---. | '---' .--| | | Clk .-|& | .-|& | .-|& | .---. | | '---' | ---oo | |O-o | |O-o | |O----|& | C| | | |'-| | '-| | '-| | | |---o >====x=====< | '---' '---' '---' .-| | | | | | | '---' | | .---. | '----------------------------' | .---. '--|& | | _ .---. '-|& | R | |O--o----Q (D) .-|& | K | |O----------| | >----o | |O----------------------| | '---' '-| | '---' '---' |<------ RS-FF ------->| |<------------- JK-FF -------------->| |<----------------------------------- D-FF ------------------------------->| Aber für VHDL gibt es sicherlich geeigneten QUellcode.
1 | signal q: std_logic; |
2 | |
3 | process(clk) |
4 | begin
|
5 | if rising_edge(clk) then |
6 | q <= d |
7 | end if; |
8 | end process; |
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.