Forum: FPGA, VHDL & Co. Xilinx UCF - was ist I/O standard when nix spezifiziert wird


von Tobi (Gast)


Lesenswert?

Hi

wenn ich das UCF file fuer einen input so darstelle

NET mein_signal<0> LOC = P2 | PULLDOWN:

welchen IO standard hat das teil denn dann? Ich hab in verschiedenen 
quellen LVCMOS25 bzw LVTTL gefunden. Was ist denn nun korrekt?

Wie gesagt sonst nix spezifiziert - hab damit messungen gemacht und 
muesste nun mal wissen was es denn nun ist.

Ist EDK (XPS) 9.1 irgendwas ohne das ich bewusst was verstellt haette.

Kann ich das auf LVCMOS18 setzen und damit die Schaltschwelle weiter 
runterbekommen so das ich ein 1.8 V input interface definiere? (Die I/O 
spannung haengt auf 3.3 V aber ich vermute das ich nur fuer den Output 
kritisch).

Fuer Tips sehr sehr sehr dankbar

Tobi

von Klaus F. (kfalser)


Lesenswert?

Ohne jetzt genau zu wissen, was Du für ein Teil einsetzt, aber Du hast 
mit ziemlicher Sicherheit LVCMOS33.
LVTTL und LVCMOS sind von den Eingangsschaltschwellen gleich, bei LVCMOS 
muß der Ausgang geringfügig höher sein als bei LVTTL, sodass LVCMOS 
LVTTL miteinschließt.

Das Setzen auf LVCMOS18 bringt überhaupt nichts, die Schaltschwellen 
hängen ausschließlich von VCCIO ab und gilt nur für VCCIO = 1.8V.

Suche nach Xilinx Application Note XAPP382 für Coolrunner, dort ist 
einiges erklärt.

von Tobi (Gast)


Lesenswert?

Hi

ist ein Spartan 3 den ich nutze - XC3S200TQ144-5

Danke
 Tobi

von Tobi (Gast)


Lesenswert?

Ok - so weit so gut.
Wo schau ich dennn nun nach auf was meine I/Os per default gesetzt 
werden?

von Christian R. (supachris)


Lesenswert?

Im Datenblatt des FPGA. Aber was soll das bringen? Du musst/kannst die 
eh nur auf was setzen, was zur VCCIO passt.

von Tobi (Gast)


Lesenswert?

Hi

es bringt mir das es reproduzierbar ist.

Ich werd mich mal durch das Daten"Blatt" wuehlen.. selten so ein chaos 
gesehen wie bei xilinx. 528 Seiten "Handbook" und da sind nicht mal die 
pinouts drin...

Tobi

von Jan M. (mueschel)


Lesenswert?

Im Pad-Report wird eine Liste mit allen I/0 und den jeweiligen 
Einstellungen generiert. Da kannst du nachschauen.

von VHDL-Anfänger (Gast)


Lesenswert?

Tust Du:

CONFIG VCCAUX = "3.3" ;

ins UCF-File.

von Tobi (Gast)


Lesenswert?

@ Jan M

danke - ist LVCMOS25 - sprich 2.5 V.
Setzt der dann intern die Schaltschwellen dementsprechend oder da die 
I/O
auf 3.3V sind die schwellen wie bei LVTTL bzw LVCMOS33?

Gruesse

 Tobi

von Christian R. (supachris)


Lesenswert?

Wenn deine VCCIO 3,3V beträgt, dann sind die Schaltschwellen natürlich 
die von den 3,3V IO-Standards, wie soll das auch sonst gehen. Was genau 
da aber rauskommt, wenn du den Treiber intern auf LVCMOS25 stellst, aber 
VCCIO 3,3V hast, ist sicherlich nicht direkt vorhersehbar.

von Klaus F. (kfalser)


Lesenswert?

> Was genau da aber rauskommt, wenn du den Treiber intern auf LVCMOS25
> stellst, aber VCCIO 3,3V hast, ist sicherlich nicht direkt vorhersehbar.

Genau weiss das natürlich nur Xilinx, aber ich denke dass das Setzen von 
LVCMOS25 keine Auswirkungen hat.
Als Ausgang liefern alle LVCMOS Varianten 0.4 V für Low und VCCIO-0.4V 
als High.
Die Eingangsschaltschwellen liegen bei allen Varianten ungefähr bei 
0.2*VCCIO und 0.7*VCCIO.

Die ganze Diskussion ist jedenfalls ein bischen um des Kaisers Bart, bei 
VCCIO = 3.3V ist LVCMOS33 oder LVTTL als Ausgangstandard zu definieren.

von Christian R. (supachris)


Lesenswert?

Sinnlose Diskussion. Auch wenn du das gerne hättest, aber mit einer 
VCCIO von 3,3V erreichst du keine Schaltschwellen anderer Logik-Pegel. 
Egal, was du einstellst. 1,8V schon gar nicht. Meistens erkennen die 
noch ein LVCMOS 2,5V als High, wenn es wirklich annähernd 2,5V bringt, 
aber das ist auch schon knapp.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.