Forum: FPGA, VHDL & Co. einfacher programmierbarer Logikbaustein


von Manfred S. (mandinice)


Lesenswert?

Höllächen

Ich muss sehr schnelle Taktsignale ( 100 Mhz ) verarbeiten.
Diese Signale sind weit zu schnell für ein uC.
Daher möchte ich die Signale mit einem Logikbaustein vorverarbeiten.
Dazu brauche ich nur ein paar wenige Verknüpfungen. Also nichts 
kompilziertes.

Vor längere Zeit habe ich einem mit einem ispLSI 1016 von Lattice 
gearbeitet.

Ich suchen nun einen einfachen programmierbaren Logikbaustein ähnlich 
dem ispLSI. Der Baustein sollte als DIP oder gesockelt verfügbar sein.


Weiters bauche dazu ein Entwicklungskit inkl. Software und USB 
Programmierkabel.

Kann mir da jemand etwas empfehlen.

Danke Euch.

hmg
Mandi

von Christian R. (supachris)


Lesenswert?

Hm, die Xilinx XC9500XL Serie würde mir da spontan einfallen. Schön 
schnell, im PLCC Gehäuse für Sockel verfügbar. USB Programmer gibts 
natürlich auch.

von Wolfgang M. (womai)


Lesenswert?

Nimm den Xilinx XC9572XL; das ist der groesste dieser Serie, der im 
PLCC-Gehauese erhaeltlich ist - dann kannst Du einen Sockel mit 0.1-Zoll 
Pinabstand verwenden (gleich gross wie bei DIP und passt daher in jede 
Lochrasterplatine etc.). Kosten ca. US$5.

Programmiersoftware dafuer ist der Xilinx ISE Weppack - gratis zum 
Runterladen von der Xilinx-Webseite, ohne jede Einschraenkung. Da kannst 
Du Deine Logikschaltung mit Verilog, VHDL oder ganz einfach als 
Schaltplan (Schematic) entwerfen und testen.

Programmierer - ich verwende Programmierer und Developmentboards von 
Digilent - http://www.digilentinc.com - bin sehr zufrieden damit und 
zumindest in den USA sind die unschlagbar guenstig im Preis.

Wenn Du mit 3.3V-Logik leben kannst, dann waere eventuell auch die 
Digilent-CMOD-Serie interessant, das sind kleine DIP-Module mit einem 
CPLD (64 Makrozellen), einfachem Programmier-Interface etc.; kostet 
unter $20.

Wolfgang

von Manfred S. (mandinice)


Lesenswert?

Hallöchen

Danke. Werd' ich mir mal anschauen.

hmg
Mandi

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.