Forum: FPGA, VHDL & Co. FPGA programmieren mit ISE10.1


von Stefan K. (stefan82)


Angehängte Dateien:

Lesenswert?

Hallo zusammen,

ich versuche grade das Tutorial der ISE 10.1 Umgebung nochmal durch zu 
gehen. Die Simulation etc. klappt soweit alles problemlos und es gibt 
auch keinerlei Fehlerausgaben.
Mein Problem liegt jedoch darin, das ich das .bit File scheinbar nicht 
auf den FPGA rüber bekomme. Wenn ich auf Programm FPGA only klicke 
meldet Impact mir "Program Succeeded" ... wenn ich dann auf Verify 
klicke meldet es "Verify failed".

Fehlermeldung lautet dann:
1
// *** BATCH CMD : Verify -p 1 
2
Maximum TCK operating frequency for this device chain: 10000000.
3
Validating chain...
4
Boundary-scan chain validated successfully.
5
'1': SPI access core not detected. SPI access core will be downloaded to the device to enable operations.
6
INFO:iMPACT - Downloading core file C:/Xilinx/10.1/ISE/spartan3a/data/xc3s700an_spi.cor.
7
'1': Downloading core...
8
PROGRESS_START - Starting Operation.
9
done.
10
'1': Reading status register contents...
11
CRC error                                         :         0
12
IDCODE not validated while writing FDRI           :         0
13
DCM Locked                                        :         1
14
status of GTS_CFG_B                               :         1
15
status of GWE                                     :         1
16
status of GHIGH                                   :         1
17
value of VSEL pin 0                               :         1
18
value of VSEL pin 1                               :         1
19
value of VSEL pin 2                               :         1
20
value of MODE pin M0                              :         1
21
value of MODE pin M1                              :         0
22
value of MODE pin M2                              :         0
23
value of CFG_RDY (INIT_B)                         :         1
24
DONEIN inmatchedput from Done Pin                 :         1
25
POST_CRC_ERR error                                :         0
26
SYNC word not found                               :         0
27
INFO:iMPACT:2219 - Status register values:
28
INFO:iMPACT - 0011 1111 1100 1100 
29
INFO:iMPACT:2492 - '1': Completed downloading core to device.
30
INFO:iMPACT - '1': Checking done pin....done.
31
'1': Core downloaded successfully.
32
INFO:iMPACT - Address 0x00000000 is in page 0.
33
INFO:iMPACT - Address 0x0005364B is in page 1293.
34
'1': Verifying device...Verify failed on page 358.
35
'1': Verification Terminated...done.
36
PROGRESS_END - End Operation.
37
Elapsed time =      7 sec.

Ich verwende ISE 10.2 das zum Starterpack gehörende USB-JTAG Kabel, mein 
Starterboard ist ein Spartan 3AN mit einem xc3s700an in einem FGG484A 
Package. vhdl Datei hab ich auch mal angehängt.

Hat irgendjemand einen Tipp für mich? ... Komme auch mit dem Handbuch 
des Starterpacks im Moment nicht wirklich weiter.

Gruß, für jede Hilfe dankbarer

Stefan

von Tobi (Gast)


Lesenswert?

Hi

sicher das Du das richtige programmierst? Nicht das da noch nen Flash 
oder sonstiger Speicher drauf ist in den Du das reinlaedst was aber dann 
nicht im Chip endet oder so?

Schau mal ins download.cmd an welcher Stelle das Ding stehen soll.

bei mir steht da (ist allerdings ein custom made board)
1
assignfile -p 1 -file implementation/download.bit
2
program -p 1

kann sein das Du die 1en aendern musst.
Ich hab allerdings noch kein 10.x drauf sondern 9.2i also es wuerde ich 
nicht wundern wenn das mal wieder nen ISE bug ist.

Tobi

von Stefan K. (stefan82)


Lesenswert?

Hi Tobi.
Hab ein paar Hinweise auf nen evtl. Bug in ISE gefunden. Versuche grade 
mal das SP3 Update auf ISE 10.3 und vllt. ist es dann ja schon behoben. 
Werd mal hier berichten obs funktioniert.
Aber sind mal wieder über 600 MB Download, ist schon irgendwie seltsam 
programmiert dieses ISE Dingen oder seh ich das alleine so?
Naja. Ich warte ab und harre der Dinge die da kommen mögen.

Die download.cmd schau ich mir mal an... auch wenn nicht sicher bin ob 
ich mit deren Inhalt was anfangen kann. Bin totaler FPGA Newbe ...

Gruß
Stefan

von Tobi (Gast)


Lesenswert?

Hi Stefan

ich bin auch ziemlicher newbie. Weiss nicht mal ob das in ISE ueberhaupt 
angelegt wird. Ich mach das mit EDK. Ich vermute wenn du es direkt mit 
IMPACT machst kannst Du meine Zeilen da oben getrost vergessen.

Lade mir auch grad nen update fuer 9.x runter.. auch 620 MB.. naja 
wenigstens sind wir ziemlich dick angebunden und das sollte in max 5 min 
gegessen sein :)

Sag gern mal bescheid was es war.

Aber Du hast recht ISE und EDK sind ziemlich schlampig prorammiert und 
haben endlos viele Bugs. (Allerdings - ich selbst koennte es nicht 
besser :D )

Tobi

von Alter Hase (Gast)


Lesenswert?

<Aber Du hast recht ISE und EDK sind ziemlich schlampig prorammiert und
<haben endlos viele Bugs. (Allerdings - ich selbst koennte es nicht
<besser :D )

Stimmt nicht!

von Stefan K. (stefan82)


Lesenswert?

Ich empfinde das schon als Schlampig ...
Habe grade das SP3 herunter geladen und installiert.
was ist das erste was ISE meldet wenn ich es danach neu starte?
Es wäre ein neues Update vorhanden!
Wie oft soll man dieses Update denn täglich machen?
Ganz davon abgesehen das die Software mehrfach abstürzt.
Aber ich könnt es halt auch nicht besser, von daher muß ich wohl 
zufrieden sein mit dem was da ist.

von Thomas R. (Firma: abaxor engineering) (abaxor)


Lesenswert?

Stefan K. wrote:
> Hallo zusammen,
>
> ich versuche grade das Tutorial der ISE 10.1 Umgebung nochmal durch zu
> gehen. Die Simulation etc. klappt soweit alles problemlos und es gibt
> auch keinerlei Fehlerausgaben.
> Mein Problem liegt jedoch darin, das ich das .bit File scheinbar nicht
> auf den FPGA rüber bekomme. Wenn ich auf Programm FPGA only klicke
> meldet Impact mir "Program Succeeded" ... wenn ich dann auf Verify
> klicke meldet es "Verify failed".

Ein Verify auf ein bit-File geht nicht so einfach. Dazu brauchst du noch 
ein weiteres File. Ich habe gerade kein laufendes System hier, um genau 
zu sagen, was für ein File du brauchst.

Bei einem Eval-Board sollte die Programmierung klappen. Das Done-Pin 
wird bei dir aktiviert, daher wird dein Design wohl angekommen sein.

Dein Beispiel sieht auch richtig aus. Woraus schließt du, dass es nicht 
funktioniert?

Tom

von Stefan K. (stefan82)


Lesenswert?

>Woraus schließt du, dass es nicht funktioniert?

Das schließe ich daraus, dass die LEDs nicht entsprechen blinken, obwohl 
ich der Meinung bin die Pins richtig angegeben zu haben.
In welcher Datei des Projektordners find ich denn das Pinning?
Wenn ich das File finde post ichs auch noch mal :)

Gruß
Stefan

von Matthias (Gast)


Lesenswert?

Is das "Pinning" nicht in der UCF (User Constrain File) enthalten? Ohne 
die kann dein Board nichts ausgeben :)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> ... obwohl ich der Meinung bin die Pins richtig angegeben zu haben.
> In welcher Datei des Projektordners find ich denn das Pinning?
Wo hast du denn die Pinzuordnung angegeben, wenn du sie jetzt nicht mehr 
findest?
Wenn du keine Einschränkungen (constraints) im UCF-File (User 
Constraints File) angegeben hast, dürfen die Tools die Pins hinlegen wo 
immer sie wollen. Im Place&Route-Report siehst du dann, wo die wirklich 
liegen.

von Thomas R. (Firma: abaxor engineering) (abaxor)


Lesenswert?

Stefan K. wrote:
>>Woraus schließt du, dass es nicht funktioniert?
>
> Das schließe ich daraus, dass die LEDs nicht entsprechen blinken, obwohl
> ich der Meinung bin die Pins richtig angegeben zu haben.

Hast du mit einem Oszilloskop gemessen oder mit deinen Augen. Alles über 
10 Hz naja 20 Hz siehst du nicht mehr. Bei deiner Teilung durch 16 
müsste also der FPGA-Takt kleiner als 160 Hz sein. Das glaube ich aber 
nicht bei einem Eval-Board.

> In welcher Datei des Projektordners find ich denn das Pinning?
> Wenn ich das File finde post ichs auch noch mal :)

Die Datei heisst etwas mit .ucf und liegt in demselben Verzeichnis wie 
die .bit.

Tom

von Stefan K. (stefan82)


Angehängte Dateien:

Lesenswert?

Hab das Pinning wie im Tutorial in ISE vorgenommen aber an die PINs 
meines Starterkits angepasst bzgl. des Clock Signals und der LED 
ausgänge.

Hab die ucf mal angehängt falls jemand das gleiche Board hat und das 
Pinning somit verifizieren kann aber ich denke das müßte so passen.

Suche grade nochmal nach einem Howto bzgl. meines StarterKits und ISE 
10.1 vllt. hab ich ja noch irgendwas mit den Jumpern falsch gesteckt 
werde dazu auch nochmal das Handbuch zu rate ziehen.

Ich meld mich jedenfalls wenn ich den Fehler gefunden habe und werde 
berichten woran es gelegen hat.

EDIT:

Sorry, muß zugeben an die Geschwindigkeit hab ich bisher noch nicht 
gedacht. Bin trottelig davon ausgegangen das es so im Tutorial steht und 
ein sichtbare Ergebnis liefern wird. Werd gleich mal mit einem Oszi dran 
gehen und schauen was mir das so für Ergebnisse liefert.

Gruß
Stefan

von Stefan K. (stefan82)


Lesenswert?

Also ....

"Fehler" gefunden, war nämlich keiner, meine Augen sind halt nur nicht 
schnell genug :-| ...

50MHz / 16 ~ 3,1 MHz ... das kann wohl kein Auge mehr auflösen

Dummer Fehler aber naja ...

DANKE für die Hilfe!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.