Forum: FPGA, VHDL & Co. Fixed Point Library - Einbindungsprobleme in Quartus II 8.1


von Fragesteller (Gast)


Lesenswert?

Hallo!

Ich brauche eine Fixed Point Arithmetik für meine Anwendung.
Bin nach kurzer Suche auch auf eine kostenlose Bibliothek gestoßen:
http://www.mikrocontroller.net/articles/Rechnen_in_VHDL


Da die Bibliothek schon recht alt ist, gab es nur Informationen für 
Quartus II 6.1. Ich habe die Dateien einfach, wie in der Doku 
beschrieben in das Projekt eingebunden.

Resultat ist natürlich:
1
Error (10482): VHDL error at project.vhd(40): object "sfixed" is used but not declared.

also habe ich kurzerhand:
1
type sfixed in array (integer range <>) of std_logic;
deklariert und ich kann erfolgreich kompilieren.

Wenn ich jedoch nun die Funktionen aus der Bibliothek verwenden will, 
stoße ich auf weitere Probleme - zB. mit der Funktion to_sfixed(...)
gleiche Fehlermeldung wie oben.



Also habe ich mir kurzerhand mal die restliche Dokumentation der 
Bibliothek zu Gemüte geführt 
(http://www.synthworks.com/papers/vhdl_fixedfloat_lewis_bishop_marlug_color.pdf, 
Seite 4)
Dort wird beschrieben wie man die Biblitohek einbindet:
1
Library YYY_math_lib ;
2
use YYY_math_lib.ZZZ_fixed_pkg.all ;

Nun habe ich also wie beschrieben im Ordner ./quartus/libraries/vhdl den 
Ordner YYY_math_lib angelegt und die Datein hineinkopiert. Zusätzlich 
habe ich sie auch noch umbenannt - wie beschrieben.

Auch diese Änderungen führten nicht zum Erfolg.

Was mache ich falsch?

mfg

von 123 (Gast)


Lesenswert?

Project/settings/libs ....

Natürlich löschen die typdef sehen in der lib
type sfixed in array (integer range <>) of std_logic;

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.