Forum: FPGA, VHDL & Co. VHDL Eingangsvektor


von User (Gast)


Lesenswert?

Hallo zusammen,

ich habe ein VHDL Programm, welches anhand einer Eingangsvariablen 
prüft, ob die Folge von '1001' auftritt.

Hier der Code:

architecture behav of SEQ_1001 is
signal State,Nextstate : integer range 0 to 3:=0;

begin

process (State,X)
begin
case State is
when 0=>
    if X='0' then Z<='0'; Nextstate<=0;
      else Z<='0'; Nextstate<=1;
    end if;
when 1=>
    if X='0' then Z<='0'; Nextstate<=2;
      else Z<='0'; Nextstate<=1;
    end if;
when 2=>
    if X='0' then Z<='0'; Nextstate<=3;
      else Z<='0'; Nextstate<=1;
    end if;
when 3=>
    if X='0' then Z<='0'; Nextstate<=0;
      else Z<='1'; Nextstate<=0;
    end if;
end case;
end process;

process (CLK)
begin
if CLK'event and CLK='1' then
State <=Nextstate;
end if;
end process;
end behav;


Nun muss ich ja die Eingangsvariable X manuell eingaben.
Kann ich diese auch über einen im Programm festgelegten Eingangsvekor 
(zBsp 00011010) eingeben?

von Läubi .. (laeubi) Benutzerseite


Lesenswert?

du kannst mittels:
1
signal XYZ : std_logic_vector(7 downto 0);
2
3
if X = XYZ(4) then
4
.
5
.
6
end if;
auf einzelne Komponenten eines Vektors zugreifen (kann auch ein Port 
sein)

Edit: Ich glaub ich weiß was du eigentlich meintest, du willst in einem 
Vektor "durch die bits durch gehen".

Das geht am einfachsten wenn du die Eingabe in einem ersten Schrit in 
ein signal kopierst:
1
XYZ <= Eingabe;
Immer wenn du jezt ein Bit fertig hast schiftest du das Ergebnis z.B. 
nach Links:
1
XYZ <= XYZ(6 downto 0)&"0"
Hilft dir das weiter? Sosnt beschreib mal was eigentlich dein Ziel ist 
so ganz schlau werd ich da nicht :)

von User (Gast)


Lesenswert?

dann müsste ich quasi eine schleife for die case anweisung setzen, 
welche den vektor einzeln ausließt und in die variable X scheibt.

Muss ich heute abend nochmal schauen.
Vielen Dank erstmal!

von Läubi .. (laeubi) Benutzerseite


Lesenswert?

Naja ne "Schleife" gibt es in VHDL nicht, du müßtest deine Statemachine 
so erweitern das sie mittels eines Startsignales den Eingang einliest, 
und dan prüft ob die gesuchte Folge vorhanden ist, und am ende dan ein 
SIgnal gefunden/nicht gefunden wieder ausgibt. Wenn die gesuchte folge 
bekannt ist kannst du das ganze auch einfach über eine Abfrage 
realisieren.

von Gast (Gast)


Lesenswert?

oder einen lumpigen zaehler einsetzen

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.