Forum: FPGA, VHDL & Co. Fixed Point Multiplikation zweier singned 16 bit fixed point Zahlen


von Linus (Gast)


Lesenswert?

Hallo -

ich lerne gerade VHDL, mache ein kleines Projekt für meinen Schein, und 
kämpfe derzeit mit folgendem Verständnisproblem, dass ich in vhdl 
schreiben soll:

2 16-bit Eingangsparameter: a,b im Format fixedpoint(S,I,F)
S= gibt an ob signed oder unsigned
I= gibt die Anzahl der Integer bits an
F= gibt die Anzahl der bits des fractional Teils an

a als fixedpoint(0,6,10)
b als fixedpoint(0,12,4)


Nun sollen a*b multipliziert werden.

c=rnd(a*b,4)


Kann mit da Jemand weiterhelfen?

Grüße aus Hamburg,
Linus

von Michael (Gast)


Lesenswert?

Hi,
mein Verständisproblem besteht schon darin deine Frage zu verstehen. Was 
willst du Multiplizieren? 2 Variablen A und B. Hast du 
Hardwaremultiplizierer? Wenn nicht schau mal hier 
http://de.wikipedia.org/wiki/Multiplizierer_(Digitaltechnik)
Dann kannst du dir einen aus Addierern zusammenbasteln.

von Günter -. (guenter)


Lesenswert?

Da wird dir dieses Dokument helfen:

http://www.digitalsignallabs.com/fp.pdf

Es beschreibt die Regeln wie die Repräsentation sich bei der 
Multiplikation ändert.

Ermittele erst mal wie die Repräsentation der Multiplikation wird und 
kümmere dich danach um die Rundung.

von jas (Gast)


Lesenswert?

Nichts fuer ungut, aber der Sinn des Scheines ist doch, Deine Loesung 
zu bewerten.
Konkrete Fragen werden Dir sicher beantwortet, aber es kann (bzw. 
sollte) Dir niemand Deine Arbeit abnehmen.
Schreib doch, wo es konkret hakt oder Du nicht weiter kommst....

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> 2 16-bit Eingangsparameter: a,b im Format fixedpoint(S,I,F)
Drei Tipps:
1) du wirst eine 32-Bit Multiplikation brauchen.
2) I+F = 16
3) das Ergebnis-Vorzeichen ist nur ein XOR

Als groben Anhaltspunkt:
Weil du dein Rückgabeformat offenbar selber wählen darfst (Hurra), 
sollte
deine Beschreibung nicht mehr Platz brauchen als 10 Zeilen. Zudem kannst 
du diese Beschreibung komplett Concurrent machen, du brauchst keinen 
Prozess.


>> Hast du Hardwaremultiplizierer?
Diese Frage stellt sich nicht. Denn wenn ich in VHDL schreibe
1
  result <= a*b;
dann schreibe ich das genau gleich, wenn ob ich einen dedicated 
multiplier habe, oder wenn der Multiplizierer mit Logik aufgebaut wird.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.