Forum: FPGA, VHDL & Co. VHDL: case problem Quartus 9.0 <-> ModelSim


von Volker (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

ich habe ein VHDL Design in dem ich eine case Anweisung nutze. In 
QuartusII9.0 wird das Design ohne Probleme compiliert; im Altera 
ModelSim gibt es die Fehlermeldung: "Array type case expression must be
of a locally static subtype" und "Case choice must be a locally static
expression".

Ich denke, dass das Problem an der Verwendung der generics liegt, da der 
Compiler diesen Ausdruck zur Übersetzungszeit nicht auswerten kann.
Warum geht das aber im Quartus?
Hat jemand einen Vorschlag zur Lösung des Problems?
Das Design funktioniert so, aber ich würde es im rahmen eines größeren 
Projektes gerne in Modelsim simulieren...

Den Code habe ich als attachment angehängt.

Danke vorab!

von Andreas (Gast)


Lesenswert?

Hallo,

dein Problem dürfte ( evtl. unter anderem ) an deiner Variablen Nutzung 
von SHIFT_PATTERN im when Zweig liegen, zwar weist Du hier den Wert nur 
einmal zu, es würde Dich aber keiner aufhalten ( nicht richtig Modelsim 
tuts ) die Variable innerhalb der Simulation zu stimulieren...
Und da für den Simualtor nicht klar ist, dass das eigentlich keine 
Variable sein soll, setzts eine Fehlermeldung.

Versuchs doch mit nem constant an der Stelle...

Gruß

Andreas

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.