Forum: FPGA, VHDL & Co. PCM Audiodaten erfassen und mit FIR Filter bearbeiten.


von TTTTTTTTTTTTTTTTTTTT Z. (Firma: AAAAAAAAAA) (roedler) Flattr this


Lesenswert?

Hallo Forum,

ich bin neu hier und blutiger Anfänger, aber trotzdem möchte ich den 
Versuch wagen mit FPGA’s für den Audiobereich Oktav- bzw. Terzfilter zu 
realisieren.
Die Ausgangssignale der Filter sollen dazu benutzt werden den jeweiligen 
Pegel am Ausgang des Filters zu erfassen und zur Anzeige zu bringen, mit 
einem PC.

Das Audiosignal kommt in 24bit/96 kHz als PCM Datenstrom und soll mit 
einem digitalen Filter in Oktav- oder Terzbreite berechnet werden.

Das FPGA EFM 01 C1050-4107 von CESYS erscheint mir aufgrund des Preises 
und der kleinen Baugröße als geeignet.

Was meint Ihr dazu?
Die richtige Wahl?
Ist das Vorhaben realisierbar?
Gibt es schon ähnliche Projekte auf die man zurückgreifen kann?

Mit freundlichen Grüßen
roedler

von Läubi .. (laeubi) Benutzerseite


Lesenswert?

Mal ne Frage vorweg ist so ein Filter wirklich so komplex? Wenn eh nen 
PC dabei ist mach den Filter doch gleich dort.

von TTTTTTTTTTTTTTTTTTTT Z. (Firma: AAAAAAAAAA) (roedler) Flattr this


Lesenswert?

Hi

Das Teil soll unabhängig von PC lauffähig sein.
Ein AD Wandler mit 4 Ausgängen (2xStereo) die parallel laufen soll als 
Datenquelle dienen.
Also 4x Filterbänke im Terzabstand.
Die daraus resultierenden Pegeldaten sollen im PC verarbeitet werden.

Vielleicht auch eine Version deren Ausgänge ohne PC quasi als Monitor 
ständig die Pegel analysieren bzw. überwachen und auf einem Display zur 
Anzeige bringen.
Vielleicht sogar mit Grenzwertüberwachung etc.

von Läubi .. (laeubi) Benutzerseite


Lesenswert?

Hm.. ja dachte nur wenn du es eh auf nem PC anzeigst hättest auch di 
Rohdaten dorthinsenden können.
Bei PCM hatte ich an ein kodiertes Signal gedacht.

Vieleicht ist da was passendes für dich dabei?
http://www.google.de/search?hl=de&q=vhdl+fir+filter&meta=&aq=0&oq=vhdl+FIR
Bevor du dir nen FPGA besorgst lad dir doch mal die passenden Tools 
runter, implementier/simulier das ganze dann sieht man viel besser ob 
ein FPGA "paßt".

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.