Forum: FPGA, VHDL & Co. Aus BIDIR wurde OUT


von paul (Gast)


Lesenswert?

Hallo Forum,

Meine Bidirektionale(inout) ports wurden plötzlich als outs in portout 
report. In View Technologie Schematic werden diese auch als OUT's 
angezeigt. Wie konnte das sein? In der Entity wurden diese als inout 
deklariert. Liegt das am Code? Wie kann ich diese als Inout 
instanziieren?
Brauche Hilfe

Danke im Vorraus

von Christian R. (supachris)


Lesenswert?

Benutzt du denn den Eingang auch im Design? Und schaltest du die Pins 
dazu in Tristate? In Schematic bei Xilinx muss an jedem BIDIR ein IOBUF 
oder IOBUFDS sein, sonst wirds ein Out nachher. In VHDL gehts natürlich 
mit der 'Z' Zuweisung in Tristate zu schalten, damit der nicht mehr 
treibt

von Duke Scarring (Gast)


Lesenswert?

Suchst Du eine Beschreibung für einen Tristate-Treiber?
1
out_sig <= intern_sig when enable='1' else out_sig <= 'Z';

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Liegt das am Code?
Ja, vermutlich.
Aber leider kann dir keiner weiterhelfen, solange du deinen Code geheim 
hältst.

von paul (Gast)


Lesenswert?

Danke für die Hilfe. Hat sich somit erledigt. War ein 
Implementierungsfehler meinerseits. Habe gedacht, dass der Bus das 
erkennen soll.
Vielen Dank

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.