Forum: FPGA, VHDL & Co. Altera SOPC Builder Fehler bei eigener Komponente


von Josh (Gast)


Lesenswert?

Hallo Forum,

ich wollte eine eigene Komponente im SOPC Builder erstellen und bekam 
folgende Fehlermeldung:
"internal error: std_logic ports/signals must be width 1"
Der Fehler tritt beim generieren des Nios II auf (SOPC Builder 9.0SP1).

Der log ist leider leer und auch Rückschlüsse sind somit nicht möglich.

Die eingebundene Komponente hat ein Avalom Mem. mapped Slave Interface. 
Ich habe auch bewusst auf generics/constants verzichet sondern in der 
Komponenten-Entity die Bitbreite immer direkt angegeben.

Auch google bringt mich nur auf folgenden Link:
http://www.alteraforum.com/forum/showthread.php?t=4347
und hier scheint es auch keine Lösung zu geben.

Hat jemand eine Idee oder hatte den Fehler schonmal?

Vielen Dank,
Josh

von Josh (Gast)


Lesenswert?

Fehler gefunden:
In der Top-Level darf man keine stdUlogic verwenden ..

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.