Forum: FPGA, VHDL & Co. Type of bla is incompatible with type of Zaehler.


von VHDL Anfänger (Gast)


Lesenswert?

Hallo, habe hier mal eine doofe Anfängerfrage, für die ich mich morgen 
schon selber hauen würde:

Wie kann ich von meinem std_logik_vector Zaehler(7 downto 0)
einen auswählen und auf einen Ausgang geben. Welcher typ bit passt zu 
std_logik_vector?
Oder umgekehrt was passt zu bit?

Hier ein Ausschnitt aus meinem Code:
1
architecture Behavioral of Toggle1 is
2
signal Zaehler  : std_logic_vector(7 downto 0);
3
signal bla  : bit;
4
begin
5
6
  Frequenzteiler: process (Generator)
7
  begin
8
    if (Generator = '1' and Generator'event)
9
    then
10
    Zaehler <= Zaehler + 1;
11
    end if;
12
  end process Frequenzteiler;
13
14
  with bit_vector'(Taste3,Taste2,Taste1)select
15
      bla <=    Zaehler(0) when "000",
16
          Zaehler(1) when "001",
17
          Zaehler(2) when "010",
18
          Zaehler(3) when "011",
19
          Zaehler(4) when "100",
20
          Zaehler(5) when "101",
21
          Zaehler(6) when "110",
22
          Zaehler(7) when "111";
23
24
end Behavioral;
Bekomme leider zig mal die Fehlermeldung:
Type of bla is incompatible with type of Zaehler.

von Gast (Gast)


Lesenswert?

Hallo VHDL Anfänger,

du musst anstatt std_logic anstelle von bit verwenden:

signal bla : std_logic;

von VHDL Anfänger (Gast)


Lesenswert?

Danke, jetzt funzt es!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.