Forum: FPGA, VHDL & Co. EDK_Fehlermeldung


von Peter M. (whitsha)


Lesenswert?

Hallo,

nach dem Versuch den Projekt runterzuladen bekomme ich folgende 
Fehlermeldung:

ERROR:Xflow - Program ngdbuild returned error code 2. Aborting flow 
execution...

woher kommt das?

danke...

von Gast (Gast)


Lesenswert?

>woher kommt das?
von "ngdbuild.exe"

such' mal in Deinem "Arbeitsverzeichnis" nach einem file mit
der Endung .bld

Dies ist das logfile von ngdbuild: es sollte Dir genauere Angaben 
machen...

Tip:
such mal bei Xilinx nach ug628..

Gruß

von Peter M. (whitsha)


Lesenswert?

Danke,... der Fehler wurde behoben.

von Vietlong D. (Firma: ial) (e_series)


Lesenswert?

Wie hast du dieses Problem gelöscht?
Gruß
VietLong

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.