Forum: FPGA, VHDL & Co. jtag uart Treiber


von hohenloher (Gast)


Lesenswert?

Hallo,

ich würde gern aus einer eigenen Software zum NIOS kommunizieren.

Das geht über eine serielle Schnittstelle ja einfach. Doch: Der 
Jtag-Uart des NIOS2 lässt sich nur aus cygwin raus ansprechen. Kennt 
jemand von euch eine möglichkeit einen seriellen kommunikationsport zu 
dem JTAG-UART aufzubauen?

Danke!

von mki (Gast)


Lesenswert?

Wieso nimmst du nicht den normalen RS232. Mit dem muß es gehen. JTAG ist 
eigentlich nur fürs debuggen da.

von hohenloher (Gast)


Lesenswert?

Nun ja,

das möchte ich eben nicht.

Ich nutze den UART, der auf meinem Board ist für etwas Anderes.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.