Forum: FPGA, VHDL & Co. sht71 über spartan 3 prozessor


von soul (Gast)


Lesenswert?

hallo
ich muss einen sht71 temperatur- und feuchtesensor über einen spartan 3 
prozessor betreiben
hat bezüglich der programmierung des Prozessors wer Erfahrung?
auf was muss ich achten?
arbeite das erste mal mit dem Prozessor

von Frank (Gast)


Lesenswert?

> spartan 3 prozessor
Das liebe Gerät ist ein FPGA und kein Prozessor

> hat bezüglich der programmierung des Prozessors wer Erfahrung?
Man munkelt, das es solche Leute wohl gibt.

> auf was muss ich achten?
Klickst du links oben auf FPGA CPLD & co

noch ein Buchtip:
http://www.amazon.de/VHDL-Synthese-Entwurf-digitaler-Schaltungen-Systeme/dp/3486581929/ref=sr_1_1?ie=UTF8&s=books&qid=1254945534&sr=1-1

von Michael Sauron (Gast)


Lesenswert?

Der SHT71 hat ein I2C-Ähnliches Interface, Ich würde eine Softcore CPU 
wie z.b. Picoblaze verwenden.

Wieso überhauft ein FPGA ? ein AVR Reicht da völlig, ist einfacher und 
Billiger.

von Fpgakuechle K. (Gast)


Lesenswert?

Der SHT71 hat ein I2C -ähnliches Interface, bei Xilinx findet sich 
sicher Code und tools wie man I2C per Mukroblaze (ich rate mal das ist 
mit prozessor gemeint) ansteuert.

von Fpgakuechle K. (Gast)


Lesenswert?

Zufälle gibts, Beiträge die völlig unkorreliert fast identisch beginnen 
...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.