Forum: FPGA, VHDL & Co. Uhr mit zwei unterschiedlichen Takten


von Phillip (Gast)


Lesenswert?

Guten Abend Allerseits.

Ich bin VHDL Anfänger. Wir müssen dazu im Studium eine Uhr mit 
bestimmten Eigenschaften erstellen, müssen uns aber die ganze 
Programmiersprache aber mehr oder weniger selbst erarbeiten. Daher seht 
es mir nach, wenn ich jetzt hier schwerwiegende Fehler gemacht habe.

Zur Aufgabe. Es soll eine Uhr erstellt werden mit "Set-Funktion", bei 
der man Minuten und Stunden einzeln einstellt. Wenn man diese nutzt, 
sollen Minuten bzw. Stunden mit 2Hz hochgezählt werden. Ansonsten soll 
die Uhr mit 1Hz laufen. Das Zählwerk soll von einem eigenen 
Taktgeneratorblock mit den zwei Takten "versorgt" werden. Es sollen 
später noch weitere Funktionen wie Alarm dazu kommen. Sekunden, Minuten 
und Stunden sollen BCD-codiert an einen Multiplexer für die Ausgabe 
weitergegeben werden.

Ich stehe nun vor dem Problem, dass ich nicht weiß, wie ich die Ausgabe 
realisieren soll. Folgendes habe ich mir dazu überlegt am Beispiel der 
Minuten überlegt:

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

entity tim_mins is
   port
   (  clk1s,clk500ms,set_time,set_mins,reset    :in std_logic;
    tim_mins1  :out std_logic_vector(3 downto 0);
    tim_mins10  :out std_logic_vector(2 downto 0));
end entity;
architecture rtl of tim_mins is
    shared variable mins1:integer range 0 to 10;
    shared variable mins10:integer range 0 to 6;
    shared variable mins1_set:integer range 0 to 10;
    shared variable mins10_set:integer range 0 to 6;
    shared variable abfrage:bit;
begin
    uhr_stellen: process(clk500ms,set_time,set_mins,reset)
      begin
        if reset='0' then
          mins1_set:=0;
          mins10_set:=0;
        elsif rising_edge(clk500ms) then
          if set_time='0' then
            mins1_set:=mins1;
            mins10_set:=mins10;
          elsif (set_mins = '1') then

            mins1_set:=mins1_set+1;
            if mins1_set=10 then
              mins1_set:=0;
              mins10_set:=mins10_set+1;
              if (mins10_set=6) then
                mins10_set:=0;
              end if;
            end if;
          end if;
        end if;

    end process;

    uhr_zaehlt: process(clk1s,set_time,set_mins,reset)
    variable cnt:integer range 0 to 60;
      begin

        if reset='0' then
          mins1:=0;
          mins10:=0;
          abfrage:='0';
        elsif rising_edge(clk1s) then
          if set_time='1' then
            abfrage:='1';
            mins1:=mins1_set;
            mins10:=mins10_set;
          else
            if abfrage='1' then
              abfrage:='0';
              mins1:=mins1_set;
              mins10:=mins10_set;
            end if;
            cnt:=cnt+1;
            if cnt=60 then
              cnt:=0;
              mins1:=mins1+1;
              if mins1=10 then
                mins1:=0;
                mins10:=mins10+1;
                if (mins10=6) then
                  mins10:=0;
                end if;
              end if;
            end if;
          end if;
        end if;
    end process;
    ausgabe_set:process(clk500ms)
      begin
        if rising_edge(clk500ms) then
          if (abfrage='0') then
            tim_mins1<=conv_std_logic_vector(mins1,4);
            tim_mins10<=conv_std_logic_vector(mins10,3);
          else
            tim_mins1<=conv_std_logic_vector(mins1_set,4);
            tim_mins10<=conv_std_logic_vector(mins10_set,3);
          end if;
        end if;
    end process;
end rtl;

Problem ist nun, dass ich nicht von beiden Prozessen Signale an den 
Ausgang geben kann. Dazu hab ich mir den Ausgabeprozess geschrieben.

Das klappt allerdings nur solange, wie "set_time" bei steigender 1Hz 
Flanke auf 0 zurück geht. Wenn das nicht der Fall ist, wird der beim 
Setzen der Zeit eingestellte Wert nicht korrekt übernommen.

Ist das halbwegs verständlich? Sofern jemand Lust und Zeit hat, würde 
ich ihm das Ganze auch per E-Mail zusenden. Ich möchte allerdings 
keinesfalls eine Komplettlösung, denn mich interessiert das sehr und ich 
möchte es auch lernen. Ein Denkanstoß oder Vorschlag zu einem anderen 
Lösungsansatz würde ich auch sehr gerne nehmen.

Grüße und Danke
Phillip

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Das sieht man in letzter Zeit immer mehr:
1
    shared variable mins1:integer range 0 to 10;
2
    shared variable mins10:integer range 0 to 6;
3
    shared variable mins1_set:integer range 0 to 10;
4
    shared variable mins10_set:integer range 0 to 6;
5
    shared variable abfrage:bit;
Allerdings würde ich zu diesem Designstil "Mist" sagen  :-/
Man hat dabei die "Softwaredenkweise", die so überhaupt nicht auf 
Hardware passt. Geh mal in die Bücherei und sieh nach, ob das Buch 
"VHDL-Synthese" von Reichardt/Schwarz im Angebot ist.

1
      :
2
        elsif rising_edge(clk1s) then
3
      :
4
        if rising_edge(clk500ms) then
5
      :
6
[vhdl]
7
Für Allgemein und für Anfänger speziell gilt:
8
*Im ganzen Design nur 1 Takt*
9
Der Rest wird über Clock-Enable gemacht.
10
11
12
[vhdl]
13
library ieee;
14
use ieee.std_logic_1164.all;
15
use ieee.std_logic_arith.all;
Sieh dir die genormte numeric_std an:
http://www.lothar-miller.de/s9y/categories/16-Numeric_Std

von Falk B. (falk)


Lesenswert?

@  Phillip (Gast)

>sollen Minuten bzw. Stunden mit 2Hz hochgezählt werden. Ansonsten soll
>die Uhr mit 1Hz laufen. Das Zählwerk soll von einem eigenen
>Taktgeneratorblock mit den zwei Takten "versorgt" werden. Es sollen
>später noch weitere Funktionen wie Alarm dazu kommen. Sekunden, Minuten
>und Stunden sollen BCD-codiert an einen Multiplexer für die Ausgabe
>weitergegeben werden.

Siehe Taktung FPGA/CPLD

Und hör bitte mit diesen shared variables auf, die braucht kein Mensch.

Deine Beschreibung ist recht akademisch. OK, du bist neu in VHDL. Aber 
wirklich gut ist das alles nicht.

>Problem ist nun, dass ich nicht von beiden Prozessen Signale an den
>Ausgang geben kann. Dazu hab ich mir den Ausgabeprozess geschrieben.

Dafür hat der liebe Gott den Multiplexer erfunden.

>Das klappt allerdings nur solange, wie "set_time" bei steigender 1Hz
>Flanke auf 0 zurück geht. Wenn das nicht der Fall ist, wird der beim
>Setzen der Zeit eingestellte Wert nicht korrekt übernommen.

Das ist alles reichlich verworren. Du brauchst eine saubere State 
Machine. Der Rest ist dann klar und fast schon zu einfach.

Deine State Machine hat nur EINEN Takt. Und zwar den schnellsten im 
System, hier unglaubliche 2 Hz :-0
Steuereingänge sind deine Taster. Muss man bei 2 Hz nicht mal entprellen 
;-)
Über die Auswertung deiner Taster erfolgt das hochzählen der Zeit in 
deinen Variablen. Diese werden dann einfach ausgegeben, ggf. vorher in 
7-Segment dekodiert. Fettig.

MFG
Falk

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Leider ist Falk meinem Korrekturversuch zuvorgekommen ;-)
Deshalb hier mit richtigen Tags:
1
      :
2
        elsif rising_edge(clk1s) then
3
      :
4
        if rising_edge(clk500ms) then
5
      :
Allgemein und für Anfänger speziell gilt:
Im ganzen Design nur 1 Takt
Der Rest wird über Clock-Enable gemacht.

von Max (Gast)


Lesenswert?

irgendwie kommt mir die aufgabe verdammt bekannt vor!

nachdem ich jetzt davon ausgehe, dass du an der hochschule mit dem 
"roten würfel" studierst: komm doch mal am donnerstag ab 13 uhr in den 
raum R0053 und frag nach den leuten mit den fpgas - da wird dir gerne 
geholfen ;)

von Max (Gast)


Lesenswert?

ach ja: sollte ich mit meiner vermutung recht behalten: das von Lothar 
Miller vorgeschlagene buch ist in großer stückzahl in der bib vorhanden. 
wir haben damals beantragt, dass das aus studiengebühren angeschafft 
wird. es ist sehr zu empfehlen!

von Phillip (Gast)


Lesenswert?

Ich danke Euch erst mal. Nachdem Buch werde ich erst mal schauen. Der 
schnellste Takt der gesamten Schaltung soll 1000Hz für einen Piepser 
(Weckfunktion) sein. Die drei Takte sollen in einem eigenen Block 
erzeugt werden und dann an die einzelnen Bausteine weiter geleitet 
werden. Der Takt auf dem späteren Board beträgt 25MHz

Verstehe ich es jetzt erst mal richtig, dass ich danach vor die 
Bausteine einen Multiplexer setze und dann entsprechend dem Taster, den 
jeweiligen Takt durchschalte?

Ich werd jetzt erst mal die Links durcharbeiten, nach dem Buch schauen 
und mich dann wieder melden.

Unsere Hochschule hat ein blaues Logo und eine andere Raumnumerierung. 
;)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Der Takt auf dem späteren Board beträgt 25MHz
Dann ist das nicht der spätere Takt, sondern der einzige Takt.
Das ist der Takt, auf dem das gesamte Design laufen wird.

Alles andere wird über Clock-Enables gemacht. Es wird also ein 
Clock-Enable für 1sec und 500ms und 500us (--> Piepser) geben. Aber jede 
Taktabfrage wird in etwa so lauten:
1
signal teilersec : integer range 0 to 24999999; -- 25MHz Takt 
2
signal onesec : std_logic := '0'; -- Clockenable für Sekunde 
3
signal teilerhalfsec : integer range 0 to 12499999;  
4
signal halfsec : std_logic := '0'; -- Clockenable für halbe Sekunde 
5
6
  process (clk) begin
7
    if rising_edge(clk25m) then -- es kann nur einen geben: der Mastertakt
8
      if teilersec < 24999999 then
9
         teilersec <= teilersec +1;
10
         onesec <= '0';
11
      else
12
         teilersec <= 0;
13
         onesec <= '1';
14
      end if;
15
    end if;
16
  end process;
17
18
  process (clk) begin
19
    if rising_edge(clk25m) then -- es kann nur einen geben: der Mastertakt
20
      if teilerhalfsec < 12499999 then
21
         teilerhalfsec <= teilerhalfsec +1;
22
         halfsec <= '0';
23
      else
24
         teilersec <= 0;
25
         halfsec <= '1';
26
      end if;
27
    end if;
28
  end process;
29
  
30
  process (clk) begin
31
    if rising_edge(clk25m) then -- es kann nur einen geben: der Mastertakt
32
      if (onesec='1') then
33
         :
34
      end if;
35
      if (halfsec='1') then
36
         :
37
      end if;
38
      if (halfmillisec='1') then 
39
         piepser <= not piepser:
40
      end if;
41
    end if;
42
  end process;

> und dann entsprechend dem Taster, den jeweiligen Takt durchschalte?
Es gibt nur 1 Takt, deshalb gibt es auch keine Taktumschaltung.

von Nephilim (Gast)


Lesenswert?

sollte das signal in der sensitivity-list dann nich auch clk25m heissen 
?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Nephilim schrieb:
> sollte das signal in der sensitivity-list dann nich auch clk25m heissen
Ach Mann, richtig...... :-/

Ich hätte das am liebsten so geschrieben:
1
  process begin
2
    wait until rising_edge(clk25m); -- es kann nur einen geben: der Mastertakt
3
    if teilerhalfsec < 12499999 then
4
       teilerhalfsec <= teilerhalfsec +1;
5
       halfsec <= '0';
6
    else
7
       teilersec <= 0;
8
       halfsec <= '1';
9
    end if;
10
  end process;
11
  
12
  process begin
13
    wait until rising_edge(clk25m); -- es kann nur einen geben: der Mastertakt
14
    if (onesec='1') then
15
       :
16
    end if;
17
    :
18
  end process;
Dann wäre das mit der Sensitivliste nicht passiert ;-)

von Phillip (Gast)


Lesenswert?

Achso, ich glaube ich verstehe es langsam, was überall mit dem einen 
Takt geschrieben wird.

Ich erzeuge sozusagen einen Frequenzteiler vom Systemtakt auf die 
gewünschten Frequenzen. In die Uhr/den Block geht dann nach wie vor der 
Systemtakt rein, die Aktion wird aber nur durch das high der "onesec" 
oder "halfsec" entsprechend dem Status von "set_time",sowie "set_min"/ 
"set_hr"freigeschaltet oder nicht?

Ich werde meinen Code heute Nachmittag anpassen.

Das Ganze soll auch erst mal nur am PC simuliert werden. Dabei wäre es 
doch nicht sinnvoll (bezüglich der Dauer der Simulierung) den 
Frequenzteile von 25MHz auf 1Hz herunter zu takten, sondern erst mal als 
Systemfrequenz die 1kHz zu nehmen?

von Duke Scarring (Gast)


Lesenswert?

> Das Ganze soll auch erst mal nur am PC simuliert werden. Dabei wäre es
> doch nicht sinnvoll (bezüglich der Dauer der Simulierung) den
> Frequenzteile von 25MHz auf 1Hz herunter zu takten, sondern erst mal als
> Systemfrequenz die 1kHz zu nehmen?
Bei der Größe deines Designs und den heutigen PCs kannst Du locker mit 
25MHz simulieren.

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> die Aktion wird aber nur durch das high der "onesec" oder "halfsec"
> entsprechend dem Status von "set_time",sowie "set_min"/"set_hr"
> freigeschaltet oder nicht?
Richtig, wobei du darauf achten mußt, dass jedes der Clock-Enable 
Signale nur 1 Takt lang aktiv sein darf. denn wenn das Clock-Enable 
onesec 2 Takte aktiv ist, dann wird gleich um 2 Sekunden hochgezählt.
Für externe Eingänge ist daher eine Flankenerkennung nötig, die wiederum 
dieses für 1 Takt aktive Clock-Enable Signal erzeugt:
http://www.lothar-miller.de/s9y/categories/18-Flankenerkennung

von Peee. T. (da-phil)


Lesenswert?

So ich hab mich mal angemeldet. Das Buch musste ich mir leider bestellen 
und ich mich somit gedulden. In der Bibo sind nur sehr wenige Exemplare 
vorhanden und die sind alle vergriffen.

Zur Flankenerkennung. Es soll so realisiert werden, dass die 
Minuten/Stunden hochlaufen, solange man den Schalter hält. Da benötigt 
man doch eigentlich keine Flankenauswertung oder?

von Duke Scarring (Gast)


Lesenswert?

@Phillip T.:

>Zur Flankenerkennung. Es soll so realisiert werden, dass die
>Minuten/Stunden hochlaufen, solange man den Schalter hält. Da benötigt
>man doch eigentlich keine Flankenauswertung oder?

Was denkst Du, wie schnell der Zähler bei 25MHz hochzählen kann :-)

Wenn Du Deinen Taster/Schalter mit einem enable von - sagen wir - 1 Hz 
aktivierst, dann bedient sich das Ganze wahrscheinlich etwas komisch. Du 
weißt ja nicht, wann das enable kommt. Es kann quasi sofort kommen oder 
nach fast einer Sekunde.

Schicker ist es die steigende Flanke (edge) zu nehmen und damit 
gleichzeitig einen Zähler zu starten, der dann nach einer Sekunde (oder 
500 ms) einen erneuten Zählimpuls (tick) ausgibt.

Ungefähr so:
1
Taster ________--------------------------------------____________
2
edge   ________-_________________________________________________
3
tick   ____________________-___________-___________-_____________

Duke

von Andreas (Gast)


Lesenswert?

Wobei dann der Taktgeneratorblock nicht mehr schön akademisch nur den 
Takt generiert, sondern noch auf Flanken reagieren muss...
Bedienbarkeit ist bei solchen Aufgaben doch meist zweitrangig :)

von Peee. T. (da-phil)


Lesenswert?

Also die Simulation fiel erst mal positiv aus. Heute habe ich die 
Portzuweisung begonnen und es erstmals getestet. Zählen und Stellen 
funktioniert. Unser Dozent war sehr überrascht über meinen Fortschritt. 
Da ich eh bis Januar noch Zeit habe, kann ich das ja dann noch 
probieren.

Das Buch ist wirklich gut. Danke für die Tipps.

von Iulius (Gast)


Lesenswert?

Der Dozent ist überrascht das jemand einen Zähler schreiben kann der mit 
einem enable Signal hochzählt ? (überspitzt ausgedrückt)

Was machen die anderen Studenten denn ?

Bei uns wurden da irgentwie höhere Erwartungen gestellt und ehrlich 
gesagt bin ich auch froh drum.

von Klaus (Gast)


Lesenswert?

Das ist alles eine Frage der Schwerpunktsetzung der Uni...

In Sachen VHDL und FPGA Design habe ich an der Uni auch nicht viel mehr 
gemacht. Andere Sachen dagegen waren wesentlich ausführlicher und 
anspruchsvoller.

von Peee. T. (da-phil)


Lesenswert?

Ich enthalte mich jetzt weiteren Ausführungen. Man weiß nie wer alles 
mitliest. ;)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.