Forum: FPGA, VHDL & Co. Timing Errors


von karl (Gast)


Lesenswert?

Hallo,
mal ne blöde Frage... Xillinx zeigt mir Timing Errors an, wie finde ich 
jetzt heraus, wo diese liegen? In der Simulation mit ISim sieht alles ok 
aus...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Xillinx zeigt mir Timing Errors an
Soso :-/
Welche denn? In welchem Prozess?
Hast du Constraints gesetzt?
Das Timing wird am besten/einfachsten mit der statischen Timinganalyse 
bewertet und untersucht.

von karl (Gast)


Lesenswert?

Danke für die schnell Antwort. Du schreibst "in welchen Prozess" damit 
wäre mir schon viel geholfen, wenn ich wüsste, wo ich das finde. 
Momentan seh ich es nur nach dem "Place and Route" in der Tabelle in der 
Spalte "Timing Error".

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Momentan seh ich es nur nach dem "Place and Route" in der Tabelle in der
> Spalte "Timing Error".
Dort steht idR. auch, welches Signal welches Timing nicht erfüllt.

> In der Simulation mit ISim sieht alles ok aus...
In der Verhaltenssimulation?
Falls ja: dort sind keine FPGA-spezifischen Zeiten im Spiel.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.