Forum: FPGA, VHDL & Co. Nexys2 und LVDS


von Sebastian B. (sfreak) Benutzerseite


Lesenswert?

Hi,

ich bekomme in Kürze ein Nexsys2-Board und möchte eine externe 
Schaltung, die ich für den FX2-Erweiterungsstecker am Spartan-3E Starter 
Kit entworfen habe, daran betreiben.

Die Schaltung benutzt LVDS-Signale. Ob die Zuordnung der der 
positiven/negativen Eingaenge am FPGA passt habe ich nocht nicht 
geprüft, das größere Problem das ich im Moment sehe ist die feste VCC_IO 
von 3.3V (beim S3E konnte man auf 2.5V umjumpern um normgereche 
LVDS-Spannungen zu erzeugen).

Ich habe mir Reference Manual und vor allem Schaltplan angesehen. Alle 
Banks werden mit 3.3V versorgt. Hat sich schonmal jemand die Platine 
angesehen ob es dort vielleicht doch eine Möglichkeit gibt auf 2.5V 
umzustellen? Eine Leiterbahn an die man leicht drankommt oder so?

Sebastian

von Duke Scarring (Gast)


Lesenswert?

Ich sehe im Schaltplan das die Clocks für den FX2 mit auf der Bank 0 
liegen. Das könnte mit 2.5V noch klappen.
Irgendwie finde ich nicht die Pins, an denen die IO-Spannung für Bank 0 
eingestellt wird.

Sicherer sind echte Pegelwandler, ggf. auf einer Zwischenplatine.

Duke

von Sebastian B. (sfreak) Benutzerseite


Lesenswert?

Duke Scarring schrieb:
> Ich sehe im Schaltplan das die Clocks für den FX2 mit auf der Bank 0
> liegen. Das könnte mit 2.5V noch klappen.
> Irgendwie finde ich nicht die Pins, an denen die IO-Spannung für Bank 0
> eingestellt wird.

Auf Seite 7 ist die gesamte Versorgung des FPGA, clevererweise ohne 
Banknummerierung, also muss man erst noch im Datenblatt des Spartan 
nachgucken: A9, C6, C13, G8, G11 versorgen Bank 0. Die liegen im 
Schaltplan alle direkt auf VCC3V3. Ich vermute einfach mal (ohne das 
Board gesehen zu haben) das die Versorgung direkt aus einem eigenen 
Supply-Layer kommt, da ist also wohl nicht viel zu machen.

Das es sinnige Pegelwandler fuer LVDS gibt kann ich mir eher nicht 
vorstellen. Der witz an dem Standard ist doch gerade das die Spannungen 
vorgegeben sind, oder? Ich werde mich trotzdem mal umsehen.

Sebastian

von Sebastian B. (sfreak) Benutzerseite


Lesenswert?

Habe noch ein bisschen gesucht und gelesen:

LVDS in den FPGA rein geht auf jeden Fall, nur die on-chip Terminierung 
funktioniert nicht.

Hier 
http://forums.xilinx.com/xlnx/board/message?board.id=Spartan&thread.id=2558 
steht sogar das man LVDS Ausgaenge relativ Problemlos benutzen kann. Das 
macht ja Hoffnung...

Sobald die Boards da sind werde ich da mal eigene Experimente 
unternehmen.

Sebastian

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.