Forum: FPGA, VHDL & Co. SRAM als DualPort Ram Verwenden


von Sebastian (Gast)


Lesenswert?

Hallo zusammen,

ich habe das DE1 Board von Altera und würde gerne den darauf enthaltenen 
SRAM von "zwei - Seiten" Seite A schreibend , Seite B lesend verwenden. 
Hat jemand schonmal so etwas aufgebaut? Das ansprechen des SRAM in 
Verilog ist kein Problem, jedoch fehlt mir ein Ansatz um daraus eine art 
DualPort ram aufzubauen.
schon mal
Kann da jemand helfen?

Danke

von Falk B. (falk)


Lesenswert?

Du brauchst eine State Machine, welche im FPGA die beiden Port zur 
Verfügung stellt, mit allem drum und dran. Adressen, Daten rein, daten 
raus, Steuerung. Die m,uss dann abwechselnd die Zugriffe der beiden 
Ports verarbeiten und auf den SRAM ausführen. Dabei wird klar, dass die 
beiden Ports bestenfalls jeden 2. Takt bedient werden können. Geht auch 
gar nicht anders, wenn nur ein Port physisch vorhanden ist. Nennt man 
Multiplexen.

MfG
Falk

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.