Forum: FPGA, VHDL & Co. Xilinx Block RAM Virtex 5


von Martin (Gast)


Lesenswert?

Ich möchte einen Block RAM verwenden um Daten zwischenzuspeichern.

Ich habe mir mal das Datenblatt von einem BlockRam durchgelesen hierzu 
habe ich einige Fragen:

Ich habe einen Takt, den gebe ich auf den Block RAM. Mit jeder 
ansteigenden CLK-Flanke (wenn RAM_EN = 1 und WE = 1) werden die Daten in 
die dazugehörige Adresse des Block RAMs geschrieben.

Die Adresse erhöhe ich auch immer um 1 mit der ansteigenden 
Clock-Flanke. Auch die neuen Daten kommen mit der ansteigenden 
Clock-Flanke an.

Nun zu meiner Frage:

Wie stelle ich sicher das die Setup and Hold-Time für den Block RAM 
eingehalten wird?

Wenn z.B. der Adresszähler mit der ansteigenden Flanke erhöht wird, dann 
dauert es ja einen kleinen Moment bis der Ausgang den neuen Zustand 
besitzt. Außerdem hat die Leitung vom Adresszähler bis zum Block RAM ja 
noch eine gewisse Verzögerung.

Jedoch übernimmt ja auch der Block RAM mit der ansteigenden CLK-Flanke 
den Wert des Adresszähler. Dieser muß jedoch auch noch eine bestimmte 
Zeit nach der anstiegenden Clock-Flanke stabil anliegen, dies ist jedoch 
nicht gewährleistet da der Adresszähler  auch erhöht wird.

Wie macht man dies am besten ?

von Falk B. (falk)


Lesenswert?

@  Martin (Gast)

>Wie stelle ich sicher das die Setup and Hold-Time für den Block RAM
>eingehalten wird?

Ganz einfach, normales, synchrones Design.

>Wenn z.B. der Adresszähler mit der ansteigenden Flanke erhöht wird, dann
>dauert es ja einen kleinen Moment bis der Ausgang den neuen Zustand
>besitzt.

Damit ist schon mal die Hold-Zeit eingehalten. Aber die ist bei den 
meisten Elementen eh Null.

> Außerdem hat die Leitung vom Adresszähler bis zum Block RAM ja
>noch eine gewisse Verzögerung.

>Jedoch übernimmt ja auch der Block RAM mit der ansteigenden CLK-Flanke
>den Wert des Adresszähler. Dieser muß jedoch auch noch eine bestimmte
>Zeit nach der anstiegenden Clock-Flanke stabil anliegen,

Wie lange? Was sagt das Datenblatt? Ich denke mal eher Null.

> dies ist jedoch
>nicht gewährleistet da der Adresszähler  auch erhöht wird.

Doch, hast du doch oben selber geschrieben.

Siehe auch SD-RAM Timing.

>Wie macht man dies am besten ?

Da muss man nichts extra machen, eine normale, synchrone 
Schaltungstechnik macht das automatisch. Und die Software sagt dir nach 
dem Place & Route wie hoch dein Takt max. sein darf, um auch die 
Setup-Zeiten einzuhalten.

MfG
Falk

von Andreas B. (Firma: www.collion.de) (bergy) Benutzerseite


Lesenswert?

Hallo Martin,

herzlich willkommen in der schönen neuen Welt der FPGAs...

Dein Denkansatz ist an sich richtig, aber die FPGA-Synthesetools und die 
Ingenieure der FPGAs sind dafür da, Dir deine Probleme abzunehmen...

Konkret:

In den FPGAs ist per Design sichergestellt das die Propagationdelays 
plus Routingdelays GROESSER sind als die Clockskews zwischen allen 
Clockeingängen am FPGA.
Das Synthesetools wiederum stellt sicher,daß die oben genannten Delays 
kleiner sind wie eine Clockperiode.
Hierzu muss die jeweilige Clockperiode dem Tool in Form einer 
Period-Constraint im UCF-File bekannt gemacht werden.

ISE ist nun bemüht die Platzierung und das Routing so zu gestalten, daß 
die Vorgaben des UCF-Files erfüllt werden, ansonsten hagelt es eine 
entsprechenden Fehlermeldung.

Gruß

Andreas

von Martin (Gast)


Lesenswert?

Ich habe mal im Datenblatt nachgeschaut.

Für die Adresse gilt folgendes:

  Setup Time = 0,48ns (max)
  Hold Time = 0,36ns (max)

alle Angaben für einen Virtex 5 Speedgrade -1

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.