Forum: FPGA, VHDL & Co. überlauf von vector


von blaugelb (Gast)


Lesenswert?

hab mal ne frage und zwar wenn ich
ein std_logic vector habe, als startwert 0111 und den runterzähle und 
bei 0000 um noch eins runterzähle steht der vektor dann bei 1111? vielen 
dank

von Armin (Gast)


Lesenswert?

ja.
aber warum hast du das nicht einfach ausprobiert? Das geht schon im 
Simulator ohne hardware


grüße

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.