Forum: Analoge Elektronik und Schaltungstechnik multiplexing von 4 Signalen gleichzeitig


von Hannes P. (hannes_p)


Lesenswert?

Hallo alle zusammen!

Ich möchte gerne ein Umschalten von insgesamt 4 Signalen auf vier 
Arbeitsplätze ermöglichen. konrekt will ich die Stell-Potis von einem 
Netzteil auf die Arbeitsplätze verteilen. es sind insgesamt vier Potis, 
die ich verteilen will. es sollte keine Digitalschaltung werden (wäre 
sonst wesentlich einfach gewesen).

Jeder Arbeitsplatz soll über einen Taster seine Leitungen aktivieren und 
alle anderen deaktivieren...


irgendwelche Ideen? ich will wenns geht ne Matrix aus Schaltern 
verhindern...


LG und Danke für die Hilfe.

der Hannes

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> konrekt will ich die Stell-Potis von einem
> Netzteil auf die Arbeitsplätze verteilen.
Was ist das für ein Netzteil?
Du solltest vorher mal Ausprobieren, ob du die Potis einfach so aus dem 
Gerät im Raum verteilen kannst...
Immerhin würde ich da schon Einwirkungen auf die Regelschleife des 
Netzteils erwarten.

von Floh (Gast)


Lesenswert?

Hannes P. schrieb:
> Jeder Arbeitsplatz soll über einen Taster seine Leitungen aktivieren und
> alle anderen deaktivieren...

Wer zuerst am Arbeitsplatz ist, kriegt Strom, die anderen müssen nen 
Kurbeldynamo antreiben?
Irgendwie versteh ich den Sinn nicht.

von Hannes P. (hannes_p)


Lesenswert?

es sollen sich vier Leute ein Netzteil teilen... die wollen kein extra 
Geräte kaufen. angeblich arbeitet immer nur einer zur Zeit, sodass nur 
die Potis per umschalter auf den jeweiligen Arbeitsplatz geschaltet 
werden sollen.

von Floh (Gast)


Lesenswert?

Hannes P. schrieb:
> angeblich arbeitet immer nur einer zur Zeit,

warum gibts dann 4 Arbeitsplätze? :-)

Aber zum Thema:

Lothar Miller schrieb:
> Was ist das für ein Netzteil?
> Du solltest vorher mal Ausprobieren, ob du die Potis einfach so aus dem
> Gerät im Raum verteilen kannst...
> Immerhin würde ich da schon Einwirkungen auf die Regelschleife des
> Netzteils erwarten.

Die Fragen von Lothar solltest du lesen.
Übrigends, um welches Netzteil geht es genau? Hersteller, Typ, eventuell 
Link?

von Hannes P. (hannes_p)


Lesenswert?

mit dem Verteile hatte ich auch ein wenig Bauchschmerzen. Die potis 
sitzen auf einer Platine die über 6 adern mit dem Rest des netzgerätes 
verbunden sind. ich denke nicht, dass der Umschaltvorgang, greife ich 
denn die 6 Adern ab, bevor sie auf die Poti-Platine gehen, so starken 
Einfluss hat. zumal die Testgeräte erst nach umschalten in betrieb 
genommen werden.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> ich denke nicht
Das ist hier die falsche Vorgehensweise...  :-/

von der mechatroniker (Gast)


Lesenswert?

Der Umschaltvorgang vielleicht nicht, aber zwischen geschätzt 50mm 
Leitungslänge (Potiplatine zu Hauptplatine) und ein paar Metern 
Leitungslänge ist doch ein Unterschied. Bist du denn sicher, dass da 
keine Feedback-Schleifen über die Potis laufen?

von Hannes P. (hannes_p)


Lesenswert?

Oh man, seit ihr schnell...

Das Netzteil ist ein ISO-Tech IPS-3601D...

hier das Handbuch:
http://www.inlec.com/downloads/data_sheets/12689315183610.pdf


mehr hab ich dazu bis jetzt noch nicht gefunden. ich hab mir mal die 
schaltung des Bedienpanels aufgemalt, kann sie aber gerade nicht online 
stellen...

von Hannes P. (hannes_p)


Lesenswert?

wenn es feedbackschleifen gibt, dass erst auf der Haupt-platine. Die 
Signale sind einmal für die Strombegrenzung (fein und grob) und das 
gleich noch mal für die spannung.

von Simon L. (simon_l)


Lesenswert?

Hi,

ich hätte da ideen,

die wirre: Jeder Drehknopf bekommt eine Tachwelle die zum Netzteil geht, 
dort gibt es dann 4 Potis, jedes wird über ein Relais angeschaltet.

die gute, aber teure: Lokal ein Poti und ein µC der das Poti auswertet. 
Am Netzteil ein Motorpoti mit µC der den Wert einstellt. Die Motorpotis 
sind typischerweise Stereo, also könnte man ein Poti fürs Netzteil 
nehmen, eins für den µC um die Potisition zu checken.

die öde ;), lokal Poti + µC, am Netzteil elektronisches Poti mit µC 
(einer für alle), man könnte die Umschaltung dann auch gleich mit 
integrieren, die Remote µCs können ja ein "hier ich" übern nen Bus 
jagen, paralell zu den Daten des Potis

Alles mit lokalem µC könnte dann auch ein Display Taster, usw. bekommen 
;) man weis ja nie^^

Ok grad gelesen, sollen alle 4 Potis übertragen werden? Dann kommen nur 
noch Idee 2 und 3 in betracht.

Gruß Simon

von Hannes P. (hannes_p)


Lesenswert?

@simon

ja es sollten alle vier Potis übertragen werden. ich weiß auch nicht ob 
ne µC Lösung in betracht kommmt, da wir es ja nicht eifach nur mit 
Digitalsignalen zu tun haben und ne AD-wandlung mir ein wenig zu 
Aufwendig ist... Außerdem hätte ich mir dann schon längst was mit VHDL 
oder C zusammengeschrieben :D

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Das Netzteil ist ein ISO-Tech IPS-3601D...
Ich würde sagen: das geht schief...

Bei dem Ding wirst du den Regelkreis im ganzen Raum spazieren fahren. 
Abgesehen von einer hohen EMV-Empfindlichkeit und irgendwelchen 
Brummschleifen kann es dir zusammen mit irgendwelchen 
Leitungskapazitäten dann noch sauber die Regelschleife zerlegen. Dann 
bekommst du u.U. einen starken Sender...

von Hannes P. (hannes_p)


Lesenswert?

ok... so weit hab ich mir da noch gar keine Gedanken gemacht... wollte 
mir nur erstmal generell ein paar Ideen holen. aber definitiv sehr guter 
einwand!
Danke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Schließ doch einfach mal die 4 Potis ohne Umschalter mit 5m Kabel an. 
Und dann wickelst du das Kabel um ein Handy und rufst die Zeitansage in 
Australien an. Dabei solltest du mit einem Oszi dann die 
Ausgangsspannung kontrollieren...
Lass uns wissen, was passiert  ;-)

von Kevin K. (nemon) Benutzerseite


Lesenswert?

der zeitliche Aufwand für das ganze ist bei berücksichtigung 
durchschnittlicher Stundenlöhne (im Sinne von entfallener Arbeitszeit) 
vermutlich so groß, dass es sich für die Firma rentiert, jedem sein 
eigenes Netzteil zu kaufen.

von Simon L. (simon_l)


Lesenswert?

Hi,

man könnte auch einfach lokal ein Display mit Tasten anbauen, bzw 
Drehgebern, wenn keine Potis (Vorteil: Absolutwertgeber). Und im 
Netzteil dann ein Digitalpoti, oder ein Motorpoti. Diese Lösung sollte 
deinen Vorstellung am besten gerecht werden, vorallem ist die 
Umschaltung recht einfach wenn alle am Bus hängen. Und man könnte auf 
allen Display die aktuellen Werte anzeigen, dann gibts keine großen 
Sprünge beim umschalten bzw, wenn man sich die Spannung hohlt erlebt man 
keine bösen Überraschungen^^

Ich denke halt, dass du nicht um eine digitale Übertragung der Signale 
vom Remote zum Netzteil herum kommst.

Gruß Simon

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> jedem sein eigenes Netzteil zu kaufen.
Spätestens, wenn ich den wertvollen und einmaligen uC-Prototypen zerlegt 
habe, weil ich "aus Versehen" auf meine 24V Taste gedrückt habe, sind 
die Kosten für getrennte Netzteile schnell wieder eingefahren...

von Hannes P. (hannes_p)


Lesenswert?

ich hatte gerade die Diskussion mit der Wirtschaftlichkeit des ganzen 
Projektes... was soll ich sagen, da brauchen wir uns nun wirklich nicht 
drüber zu unterhalten.

Ich hab eine Logik-lösung mit RS-Latches im Kopf. Die wird Testweise 
erstmal nur einmal aufgebaut und wenns klappen sollte, dann wird halt 
vervierfacht und sollte laufen. Sobald ich zu Hause bin, simulier ich 
die Schaltung mal durch.

Danke Euch für die vielel Denkanstöße, Hinweise und Tips.

LG
der Hannes

von Kevin K. (nemon) Benutzerseite


Lesenswert?

naja, du musst dann aber auch die Buchsen an Arbeitstisch B abschalten, 
wenn Kollege D das Netzteil benutzen will, sonst tritt der von Lothar 
genannte Fall ein.

Ich halte nach wie vor nichts von deiner Lösung.

von Reinhard Kern (Gast)


Lesenswert?

Kevin K. schrieb:
> naja, du musst dann aber auch die Buchsen an Arbeitstisch B abschalten,
> wenn Kollege D das Netzteil benutzen will...

und nicht nur das: er muss auch die Fühlerleitungen umschalten, wenn das 
Netzteil die gewünschte Spannung am Arbeitsplatz D liefern soll. Und 
spätestens dann wird die ganze Anlage instabil. Eigentlich lohnt sich 
keine weitere Diskussion, einfach abhaken als Schnapsidee.

Gruss Reinhard

von Hannes P. (Gast)


Lesenswert?

hehe, is auch schon abgehakt, hab die Chefs überzeugen können, das es 
keine sonderlich gute Idee war... dennoch die Umschaltung an sich könnte 
klappen, immerhin liese es sich wunderbar per VHDL für ein 4bit-Bus 
benutzen. werds morgen mal ausprobieren.

nun aber alle ins Bett :D und Danke für eure Hilfe!

Der Hannes

von Alexander S. (esko) Benutzerseite


Lesenswert?

Was sind das für Arbeitsplätze?
Ist das evtl. ein Verein.

von Hannes P. (Gast)


Lesenswert?

es sollen Messplätze werden für Zahnmedizinische Geräte, die Repariert 
werden sollten.

ich denke aber mal, dass die mit so einer Konstruktion auf kurz oder 
lang, wenn schon keine technischen, dann wenigstens rechtliche o.ä. 
probleme kriegen könnten

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Hannes P. schrieb:
> Ich hab eine Logik-lösung mit RS-Latches im Kopf.
> ...
> immerhin liese es sich wunderbar per VHDL für ein 4bit-Bus benutzen.
Ich habe an dieser Stelle immer noch nicht verstanden, was du mit VHDL 
und Bus und den analogen Potis anstellen willst.
Einfach eine Schaltung in VHDL beschreiben, die gegenseitig ausrastet 
bzw. verriegelt, das ist trivial. Hier zwei Lösungen:
1
ibrary IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
4
entity Rasttaster is
5
    Port ( taster : in  STD_LOGIC_VECTOR (3 downto 0);
6
           sel : out  STD_LOGIC_VECTOR (3 downto 0));
7
end Rasttaster;
8
9
architecture Behavioral of Rasttaster is
10
begin
11
----   als Prozess
12
   process (taster) begin
13
     if taster /= "0000" then
14
       sel <= taster;
15
     end if;
16
   end process;
17
18
---- Concurrent
19
   sel <= taster when taster /= "0000";
20
21
---- mit Prioritätsencoder
22
---- damit nicht 2 sel-Ausgänge gleichzeitig aktiv sein können
23
   sel <= "0001" when taster(0)='1' else
24
          "0010" when taster(1)='1' else
25
          "0100" when taster(2)='1' else
26
          "1000" when taster(3)='1';  
27
28
end Behavioral;
Das ergibt letzlich alles nur ein 4-fach Latch...

Wie du das dahinter aber mit den Potis elektronisch machen willst, das 
wird interessant. Oder hattest du da (Reed-)Relais vorgesehen?

> werds morgen mal ausprobieren.
Lass doch hören, was daraus geworden ist.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.