Forum: FPGA, VHDL & Co. Port in VHDL ansprechen


von Hartmut D. (Gast)


Lesenswert?

Hallo.

Kann mit jemand erklären wie man in ispLEVER Classic mit VHDL denn die 
PINs anspricht ? Ich will von Abel umsteigen und da war das völlig 
einfach. Nun versteh ich aber nicht, wie man in VHDL die physikalischen 
pins anspricht. In Abel ging das einfach mit ner zuweisung "CLK pin 89;" 
und schon konnt man über "CLK =" den Signalzustand setzen. Wie mach ich 
sowas einfaches in VHDL ?

Grüße

von Mathi (Gast)


Lesenswert?

In der Architecture Du verwendest einfach die Port-Namen, die Du in der 
Entity festgelegt hast.
Das Umsetzen dieser Namen auf einen Pin geschieht nicht direkt in VHDL. 
Die musst Du in der Constraints-Datei festlegen. Bei Lattice müssten 
sich diese Festlegungen in einer .lpf Datei finden.
Man kann das auch aus der GUI machen. Mit Hilfe des Design Planners oder 
so ähnlich. Ist schon ne Weile her das ich die Lattice-Tools verwendet 
habe...

von SuperWilly (Gast)


Lesenswert?

>Bei Lattice müssten sich diese Festlegungen in einer .lpf Datei finden.

Nicht bei ispLever-Classic. Hier beinhalten die lci/lct-Dateien 
relevante
Locate/Pinning Constraints.

VG,
SuperWilly

von Hartmut D. (Gast)


Lesenswert?

Das nenn ich mal ne Gute hilfe :) Danke!

Eins noch: Gibts in der Entwicklungsumgebung kein "Designer" mit dem man 
die PIN assignment bequem machen kann. Ich hab nix gefunden...acuh nach 
stundenlanger Suche...kann doch nicht sein dass man das per hand in den 
Dateien machen muss !?

von SuperWilly (Gast)


Lesenswert?

Wenn du den Baustein markierst, dann siehst auf der rechten Seite den
CONSTRAINT EDITOR, quasi nicht zu übersehen ;-)

VG,
SuperWilly

von Stefan W. (wswbln)


Lesenswert?

...es geht bei Lattice auch im VHDL-File:

Nach der Definition der Ports schreibt man

attribute loc :  string;
attribute loc of p_OSC_CLK  : signal is "M9";
attribute loc of p_RxCLK  : signal is "A9";
attribute loc of p_RxDV  : signal is "J16";
attribute loc of p_RxER  : signal is "J15";
attribute loc of p_RxD  : signal is "B12,A12,A13,B13";

...etc.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.