Forum: FPGA, VHDL & Co. Sinus Generator


von Kurt M. (Gast)


Lesenswert?

Hallo Lothar,
wie kommst du in der Simulation auf die Anzeige des Sinus.
Habe dort keine "Analoges" Signal auf dem Monitor

http://www.lothar-miller.de/s9y/archives/57-Sinusausgabe-mit-PWM.html#extended


Gruß,
Kurt M.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Kurt M. schrieb:
> Habe dort keine "Analoges" Signal auf dem Monitor
Welchen Simulator hast du?
ISIM z.B. kann das nicht... :-(

Bei Modelsim geht das mit (vermutlich Rechtsklick auf den Vektor im 
Anzeigenfeld) Format --> Analog, und dann ein paar Einstellung 
(Untegrenze + Obergrenze).

von Kurt M. (Gast)


Lesenswert?

> Welchen Simulator hast du?
Ich habe ISIM, das Standardmäßig im ISE ist.

Es würde bedeuten, ich müsste Hardware,äßig noch einen DA-Wandler 
nachschalten um ein analoges Signal zu erhalten? Oder würde ich bspw. 
mit einem Oszoloskop das analoge Signal messen können?


Kurt M.

von Lolly (Gast)


Lesenswert?

Oh Gott!

Lolly

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Du vermischt hier Virtualität und Realität.... :-o

Wenn ich im Modelsim VHDL-Simulator das Ergebnis analog anzeigen kann 
dann rechnet mir Modelsim in der virtuellen Silumation einfach nur den 
Vektor in eine Pixelposition um und platziert das auf dem Bildschirm.

Um real ein Analogsignal auf dem Oszilloskop zu sehen muß irgendeine 
Hardware den Vektor in ein analoges Signal umwandeln. Das kann ein 
DA-Wandler sein oder auch (bei relativ niedrigen Frequenzen) eine 
PWM-Einheit mit nachgeschaltetem Tiefpass...

> Oh Gott!
Ja, das hilft hier weiter... ;-)

von J. S. (engineer) Benutzerseite


Lesenswert?

naja, eigentlich muss er wirklich nur einen DA-Wandler an das digitale 
Signale hängen, oder?

... wenn der FPGA mal gebaut ist.

von Nobbi (Gast)


Lesenswert?

Letzten endes schon, immerhin möchte man ja aus den digitalen Werten den 
Sinus generieren. Nichts anderes macht ModelSim in der Abbildung auf 
lkmillers HP auch nicht.

von Nobbi (Gast)


Lesenswert?

Anhang: Wobei das auf der Abbildung natürlich nur die Simulation ist 
;-).
Hierbei werden dann die Werte nicht als Zahl angezeigt sondern analog. 
Bei ModelSim kann man die Stufenhöhe in dem Menü Format und dann unter 
Analog einstellen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.