Forum: FPGA, VHDL & Co. embedded multiplier 9 bit


von Jürgen (Gast)


Lesenswert?

Kann mir jemand sagen, was der embedded multiplier 9 bit von Altera ist 
und was dieser kann?
MfG Jürgen

von ich (Gast)


Lesenswert?

Rein vom Namen her könnte man vermuten dass es sich um einen, in 
Hardware realisierten, 9-Bit Multiplizierer handelt.
Dieser kann zwei 9-Bit Zahlen multiplizieren.

von Ein Gast (Gast)


Lesenswert?


von Nobbi (Gast)


Angehängte Dateien:

Lesenswert?

Ja es handelt sich um einen 9 Bit Multiplizierer, der in Hardware 
realisiert ist. Optional können auch zwei 9 Bit zu einem 18 Bit 
zusammengeschalten werden.
Möchtest du diese Hardwaremultiplizierer verwenden dann geht das ganz 
simpel mit dem "*" Operator. Am besten probierst du das einfach mal aus, 
nach dem Synthetisieren des ganzen wirst du sehen, dass er eine 
Megafunction in dein Projekt mit eingefügt hat mit der er dann die 
Multiplizierer anspricht. Diese Megafunction is kostenlos, du brauchst 
also keine Lizenz für den Multiplizierer ;-).
Je nachdem welchen Baustein du verwendest sind diese verschieden 
schnell, irgendwie logisch :-). Naja beim Cyclone II z.B. gehen die mit 
bis zu 250 MHz laut Datenblatt. Aber auch nur bei bestem Speed grade.
Kannst in Quartus ganz leicht ausprobieren, da gibts nämlich Templates 
(siehe angehängtes Bild) da kannst du den Code für ne Multiplication 
erstellen lassen ;-). Wobei das sollte schon noch machbar sein.
Gruß Nobbi

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.