Forum: FPGA, VHDL & Co. Modelsim in Xilinx ISE einbinden?


von Klaus (Gast)


Lesenswert?

Da Xilinx ja in der neusten Version kein Modelsim mehr mit ausliefert, 
habe ich jetzt direkt von Mentor die Studentenversion heruntergeladen 
und installiert.

Bisher habe ich Modelsim immer direkt aus dem ISE Projekt gestartet. 
Diese Integration gibt es jetzt natürlich nicht mehr.

Gibt es eine Möglichkeit Modelsim wieder zu integrieren?

Oder wenn das nicht geht, wie starte ich dann direkt in Modelsim eine 
Simulation meines Projekts? Ich blick da im Moment noch nicht ganz 
durch. Über etwas Starthilfe wäre ich sehr dankbar!

von Christian R. (supachris)


Lesenswert?

Die PE geht natürlich auch so zu starten. Bei den Projektoptionen dann 
Modelsim PE einstellen und evtl. in den Preferences den Pfas zur 
modelsim.exe anpassen.
Bei der PE musst du aber erst mal alle Xilinx Bibliotheken manuell 
kompilieren, wenn du solche Sachen wie FIFOs, DCM, usw. benutzt. Das 
geht dann in ISE, im Design Flow gibts dann einen Punkt "Compile 
Libraries..." oder sowas.
Stand-Alone geht natürlich auch, mache ich immer so. In modelsim ein 
Projekt anlegen, alle VHDL Files hinzufügen und dann am besten eine .do 
Datei schreiben, die die Simulation startet. Da drin dann etwa so:

vsim work.DeineTestbench_TB_vhd -t 1ps
add wave *
run 20000ns

Das add wave * kannst du dann durch do wave.do ersetzen, wenn du die 
Signale alle sortiert hast und die Waveform abgespeichert hast. Dann 
musst du nur nach jedem Kompilieren der Änderungen immer nur die .do 
Datei ausführen und Modelsim startet die Simulation und stellt alle 
Signale wieder so dar, wie beim letzten mal.

von Klaus (Gast)


Lesenswert?

Danke schonmal für diene Antwort!


Christian R. schrieb:
> Stand-Alone geht natürlich auch, mache ich immer so. In modelsim ein
> Projekt anlegen, alle VHDL Files hinzufügen und...

Nun ist mein Top-Level-Modul ein Schematic-Modul. Das heißt ich habe 
keine VHDL Datei dazu. Wie macht man das in dem Fall?

von Duke Scarring (Gast)


Lesenswert?

Klaus schrieb:
> Top-Level-Modul ein Schematic-Modul

Das wandelt Dir die ISE auch um. Wahlweise nach Verilog oder VHDL.

Duke

von Christian R. (supachris)


Lesenswert?

Bei der Synthese wandelt ISE das automatisch in eine VHF Datei um. Das 
ist dann VHDL-Spaghetti-Code für dein Schematic. Du kannst es auch 
selbst anstoßen nach Änderungen: "View HDL Funcional Model" ist der 
Punkt in ISE.
Noch ein Grund mehr, von Schematic wegzukommen und gleich in VHDL zu 
schreiben.

von Klaus (Gast)


Lesenswert?

Danke für eure Tipps!

Nun läuft hier alles wie immer, wie es auch in den vorherigen Versionen 
war. Hab nun folgendes gemacht:

1) Als Simulator in ISE den ModelSim PE einstellen

2) Den Pfad zur ModelSim Exe in den Einstellungen "Integrated Tools" 
eintragen

3) Den Process "Compile HDL Simulation Library" ausführen.


Christian R. schrieb:
> Noch ein Grund mehr, von Schematic wegzukommen und gleich in VHDL zu
> schreiben.

Schreibst du komplett das gesamte Design in VHDL? Der große Vorteil von 
VHDL liegt meiner Meinung nach in der Verhaltensbeschreibung. Ich finde 
für strukturelle Beschreibungen sind Schematic einfach wesentlich 
übersichtlicher. Man sieht genau, welche Komponente wie mit den anderen 
Verbunden ist. Man kann das auf einen Blick visuell erfassen. Durch ein 
ganze Reihe von Portmap-Anweisungen durch zusteigen dauert dagegen eine 
Weile. Oder liegt das nur daran, dass ich noch so ziemlich VHDL Anfänger 
bin? ;-)

von Christian R. (supachris)


Lesenswert?

Ich hab mir angewöhnt, das komplett in VHDL zu machen. Ist besser 
wartbar und vor allem viel besser per SVN versionierbar. Außerdem wird 
bei Xilinx der Schematic Editor nur noch sehr stiefmütterlich behandelt. 
Die Erleichterungen bei der Simulation sind auch nicht zu verachten. 
Jedes Mal die VHF Files erzeugen, da wird man ja wahnsinnig.

von Klaus (Gast)


Lesenswert?

Kann es sein, dass der Waveform Stimulus Editor in der PE Version nicht 
enthalten ist? Den fand ich immer recht praktisch, wenn man mal schnell 
was testen wollte, ohne ein Testbench zu schreiben.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.