Forum: FPGA, VHDL & Co. Selbstdefinierte Typen als Port einer Entity möglich?


von noips (Gast)


Lesenswert?

Hallo an euch alle,

gibt es eine Möglichkeit, als Port einer Entity einen selbst definierten 
Typ zu verwenden, so wie hier:
1
entity conv is
2
    Port ( din : in  STD_LOGIC_VECTOR (19 downto 0);
3
           dout : out array (0 to 4) of STD_LOGIC_VECTOR (7 downto 0));
4
end conv;
5
6
architecture test of conv is
7
-- ...
8
-- ...
9
end test;

Ich habe es so versucht aber es wird nicht kompiliert, die Syntax stimmt 
nicht. Kann man es irgend wie anders?

Danke für die Antworten!

von berndl (Gast)


Lesenswert?

du musst einen TYPE in einem package definieren, dann kannst du diesen 
TYPE direkt in der Entity verwenden

von noips (Gast)


Lesenswert?

Also Stichwort "Package". Vielen Dank! Schau ich mir an!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.