Forum: Mikrocontroller und Digitale Elektronik Optokopplerauswerten puls oder ein/aus


von Gerhard H. (oderlachs)


Lesenswert?

Hallo Freunde und Könner des Fachs !

Ich habe da ein Projekt und weiss nicht recht wie ich da rangehen soll.

Es geht um eine Signalauswertung. Der Eingang ist ein Optokoppler, nun 
kann es sein das das Eingangssignal als Impuls oder Dauersignal kommt. 
Es schaltet in Auswertung zBsp. ein Flippflop(FF) , besser es soll 
schalten. Kommt als zweites wieder ein Puls, schaltet das FF wieder um , 
das ist mir Klar.
Nur bei einem Dauersignal soll ebenfalls das FF schalten, geht das 
Signal nach einer unbestimmten Zeit auf Null und dann wieder auf Dauer 
ein, soll der FF wieder umschalten.
Ich glaube das einfachste wäre einen AT zu programmieren der das 
auswertet, oder gäbe es noch eine einfachere Lösung in Auwertung des 
Einganges an zwei Ausgängen(A1,A2) dann jeweils nach Eingangsstatus 
logisch "Ein" oder eben evt. +5V  entweder an A1 oder A2 zu haben.

Kann mir  wer helfen eine Starthilfe sozusagen geben ?

Ich danke jetzt schon für jegliche Hilfe.

Gerhard

von Peter B. (pbuenger)


Lesenswert?

und wo ist jetzt der Unterschied zwischen hier

> Es schaltet in Auswertung zBsp. ein Flippflop(FF) , besser es soll
> schalten. Kommt als zweites wieder ein Puls, schaltet das FF wieder um

und hier?

> Nur bei einem Dauersignal soll ebenfalls das FF schalten, geht das
> Signal nach einer unbestimmten Zeit auf Null und dann wieder auf Dauer
> ein, soll der FF wieder umschalten

So, wie Du es beschrieben hast, ist das doch ein- und dasselbe.

Peter

von Erich (Gast)


Lesenswert?

Dein Text ist ein bischen unklar.
Aber es hört sich an, als suchtest du die Funktion 
"Einschaltwischrelais".

Signaldiagramme siehe
http://www.eltako.com/fileadmin/downloads/de/_bedienung/mfz12.1_ez12_4893_dtsch.pdf

Man kann das u.a. mit einem Monoflop realisieren (nicht nachtriggerbar) 
oder natürlich in SW mit einem uC,
Beitrag "einfaches Monoflop"

von Gerhard H. (oderlachs)


Lesenswert?

Vielen Dank Peter, ja Du hast Recht da muss ich selbst über mich lachen 
;)

Ja vielleicht habe ich mich auch noch nicht richtig ausgedrückt... es 
soll in beiden fällen Impuls oder Dauereingang ein Ausgangsimp. mit def. 
Länge herraus kommen, werde mal mit einem ne555 als Monoflop versuchen 
das ganze anzusteueren...vileleicht komme ich dann auch weiter..

Gerhard

Edit 1: Ja Erich Du warst schneller wie ich im Tippen....Danke auch Dir 
!!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.