Forum: FPGA, VHDL & Co. Xilinx "build info" in ISE/VHDL möglich?


von X- R. (x-rocka)


Lesenswert?

Moin,

gibt's für Xilinx ISE eine Möglichkeit Informationen über das aktuelle 
Projekt wie Datum des "Kompilierens" (jaja, dat is kein Compiler... aber 
ihr wisst was ich meine!) o.ä. automatisch in den Chip zu bekommen, zB 
als Konstante?
Ähnlich den C-preproc Anweisungen bei einigen Compilern.

Danke,
X

von Duke Scarring (Gast)


Lesenswert?

Direkt in ISE ist mir nichts bekannt. Falls Du einen Skript-basierten 
Workflow hast, kannst Du problemlos z.B. das Datum oder die SVN 
Revisionsnummer in den VHDL-Quelltext einfügen.

Duke

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?


von X- R. (x-rocka)


Lesenswert?

Moin,

danke schon mal! Ich hatte es befürchtet.

Was meint ihr mit "Skript-basiertem Workflow"?

X

von Duke Scarring (Gast)


Lesenswert?

X- Rocka schrieb:
> Was meint ihr mit "Skript-basiertem Workflow"?

Sowas z.B. [1]
Ich nutze ein Makefile unter cygwin/Windows.

Duke

[1] http://avr.auctionant.de/vhdl/xilinx_fpga_makefile_linux.html

von X- R. (x-rocka)


Lesenswert?

Danke für die Info.
Aber dazu bin ich zu faul und zu doof! ;)
Dann doch weiterhin per Hand.

von Duke Scarring (Gast)


Lesenswert?

X- Rocka schrieb:
> Aber dazu bin ich zu faul und zu doof! ;)
> Dann doch weiterhin per Hand.
Hehe. Faul bin ich auch. Deswegen würde ich mir ein Skript schreiben ;-)

Duke

von X- R. (x-rocka)


Lesenswert?

Duke Scarring schrieb:
> X- Rocka schrieb:
>> Aber dazu bin ich zu faul und zu doof! ;)
>> Dann doch weiterhin per Hand.
> Hehe. Faul bin ich auch. Deswegen würde ich mir ein Skript schreiben ;-)
>
> Duke

Dann wohl doch nur zu doof! :-(

von Duke Scarring (Gast)


Lesenswert?

X- Rocka schrieb:
> Dann wohl doch nur zu doof
Das läßt sich ja ändern (hoffentlich) :-)

Duke

von X- R. (x-rocka)


Lesenswert?

Duke Scarring schrieb:
> X- Rocka schrieb:
>> Dann wohl doch nur zu doof
> Das läßt sich ja ändern (hoffentlich) :-)
>
> Duke

Ich habe 0 (null) Ahnung von Skript-Krams. Wo fange ich an? :-)

von D. I. (Gast)


Lesenswert?

Duke Scarring schrieb:
> X- Rocka schrieb:
>> Was meint ihr mit "Skript-basiertem Workflow"?
>
> Sowas z.B. [1]
> Ich nutze ein Makefile unter cygwin/Windows.
>
> Duke
>
> [1] http://avr.auctionant.de/vhdl/xilinx_fpga_makefile_linux.html

WinRAR meint das Archiv sei zerstört, ... :/

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.