Forum: FPGA, VHDL & Co. ISim: String-Darstellung im Waveplot


von Matthias G. (mgottke)


Lesenswert?

Hallo,

bei der Simulation mit ISim würde ich gerne einen String im Waveplot 
darstellen. Das würde die Fehlersuche um einiges erleichtern. Leider 
erscheint der String in der Form:
 'D','a','s',' ','i','s','t',' ','d','e','r',' 
','S','t','r','i','n','g', ...

Hat jemand schon mal entdeckt wie man das anders darstellen könnte?

von Matthias G. (mgottke)


Angehängte Dateien:

Lesenswert?

Ich füge mal noch ein Screenshot dazu.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Angehängte Dateien:

Lesenswert?

Sei froh, dass überhaupt was angezeigt wird...  :-/

Nehmen wir mal diese simple Beschreibung:
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.NUMERIC_STD.ALL;
4
5
entity StringToSLV is
6
    Port ( clk  : in  STD_LOGIC;
7
           dout : out  STD_LOGIC_VECTOR (7 downto 0));
8
end StringToSLV;
9
10
architecture Behavioral of StringToSLV is
11
signal txt : string(1 to 4) := "abcd";
12
signal ch  : character;
13
signal cnt : integer range txt'range := txt'left;
14
15
begin
16
   process begin
17
      wait until rising_edge(clk);
18
      if cnt < txt'right then  cnt <= cnt+1;
19
      else                     cnt <= txt'left;
20
      end if;
21
   end process;
22
   ch   <= txt(cnt);
23
   dout <= std_logic_vector(to_unsigned(character'pos(ch),8));
24
end Behavioral;

Und dann sehen wir uns die Technologie-Schematic an. Na gut ein FF 
zuviel, aber an den Ausgängen dürfte sich was tun.
Und Modelsim zeigt auch, was da passiert.
Nur ISIM, der schräge Knabe, tut schlicht gar nichts  :-o

Naja, evtl. gehts ab der Version 13...

von WebPack13 (Gast)


Lesenswert?

Habs gerade in 13.1 probiert, das selbe Problem wie in
12.1. ISim hat noch immer Probleme mit mehr als einem
Process (bzw. Prozess und zusätzl. Signalzuweisung).

Naja, bei der Version 13.1 hat sich ja schonmal die
Versionsnummer geändert, der Rest kommt bestimmt noch...

von Matthias G. (mgottke)


Lesenswert?

Lothar Miller schrieb:
> Sei froh, dass überhaupt was angezeigt wird...  :-/

hmmm... Auch wenn die Herangehensweise beim ISim gegenüber Modelsim 
etwas merkwürdig ist, so hat glücklicherweise bisher "fast" alles 
funktioniert.

von Christian R. (supachris)


Lesenswert?

Sollte nicht eigentlich die Analog-Darstellung mit der 13 kommen? 
Irgendwie geht das doch immer noch nicht. Naja, zum Glück ist die Invest 
für ModelSim SE genehmigt. Mit dem ISim Spielzeug kann man doch nur sehr 
eingeschränkt arbeiten...

von D. I. (Gast)


Lesenswert?

Christian R. schrieb:
> Naja, zum Glück ist die Invest
> für ModelSim SE genehmigt.

gz, damit lässt sich wirklich vernünftig arbeiten

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.