Forum: Markt Suche Quarzoszillator 67,109Mhz


von Markus (Gast)


Lesenswert?

Hallo,

hat jemand einen Quarzoszillator mit 67,109 MHz.
Oder kennt jemand eine Bezugsquelle.

Gruß

Gast

von eProfi (Gast)


Lesenswert?

Das ist schon eine sehr seltene Frquenz.
Wo kommt die vor?
Es gibt programmierbare Oszillatoren (mit Eeprom) z.B. von Epson oder 
Murata.  SI570 ist ein Suchbegriff.

von Markus (Gast) (Gast)


Lesenswert?

Ich brauche diesen Quarz für das DDS-IC AD9834 v. Atmel. Die Frequenz 
bietet sich an, da sich damit Frequenzschritte v. 0,25Hz realisieren 
lassen und sich die Berechnungen im ansteuernden MC für die 
Frequenz-Register des IC's erheblich vereinfachen. Die Werte für die 
frequenzbestimmenden Register im DDS-IC berechnen sich aus: 
Frequenz_Registerwert = fout * 2^28 / fclock
Um diese Berechnungen im MC durchführen zu können, brauche ich Division- 
und Multiplikationsfunktionen für min. 38 Bit !!! Ich mache zwar schon 
lange mit Assembler rum, möchte mich da aber trotzdem nicht unbedingt 
reinsteigern.
Wenn meine fclock 67,109MHz ist, dann lässt sich die Formel auf: fout * 
4 vereinfachen, weil 2^28/67,109MHz = 4
ELV baut einen DDS-Funktionsgenerator auch mit diesem IC und mit dem 
besagten 67,109MHz-Qaurzoszillator. Habe mich mit ELV schon in 
Verbindung gesetzt und die teilten mir mit dass sie den Quarzoszillator 
nicht einzeln verkaufen.
...warum ich mir den DDA-Frequenzgenerator (DDS 130) von ELV nicht 
kaufe? ...ich verfolge mit dem DDS-IC noch andere Funktionen ...z.B. die 
Wiedergabe anderer selbstdefinierter Signale aus einem ext. schnellen 
Speicher.

Den einzigen Oszillator mit dieser Frequenz habe ich bei 
"MOUSER-Electronics" gefunden, nur verlangen die 33 Euronen netto, 
zuzüglich Steuer, Versand und Zoll. Dieser eine Quarzoszillator kommt 
mir damit auf ungefähr 60 Euro !!! Den Oszillator muss es doch irgendwo 
günstiger geben.

Gruß
Markus

von Eddy C. (chrisi)


Lesenswert?

Einen Standardquarz 4.194304MHz mit einer PLL um Faktor 16 verlängern? 
Ist aber anstrengender wie eine Divisionsroutine.

von Klaus (Gast)


Lesenswert?

Markus (Gast) schrieb:
> Den einzigen Oszillator mit dieser Frequenz habe ich bei
> "MOUSER-Electronics" gefunden, nur verlangen die 33 Euronen netto,
> zuzüglich Steuer, Versand und Zoll. Dieser eine Quarzoszillator kommt
> mir damit auf ungefähr 60 Euro !!! Den Oszillator muss es doch irgendwo
> günstiger geben.

Aber sicher, du mußt nur 1000 Stück bestellen.

MfG Klaus

von Robert N. (metrux)


Lesenswert?

Versuchs doch mal hier:

http://www.andyquarz.de

Gruß

Robert

von tom (Gast)


Lesenswert?

>> ...ich verfolge mit dem DDS-IC noch andere Funktionen ...z.B. die
>> Wiedergabe anderer selbstdefinierter Signale aus einem ext. schnellen
>> Speicher.

Wie willst Du an den AD9834 externen Speicher anschließen? So wie ich 
den IC verstanden habe, hat der nur ein internes ROM für die 
Sin-Tabelle.

Kannst Du bitte kurz erklären, wie Du selbstdefinierte Signale erzeugen 
möchtest. Ich bin nämlich gerade auf der Suche nach einen einer 
integrierten Lösung für einen AFG.

von Markus (Gast) (Gast)


Lesenswert?

Hallo Robert,
mercy schon mal für den Link. Werde mich mit denen mal in Verbindung 
setzen. Vielleicht habe die ja was für mich. Problematisch ist für mich 
dann nur noch welche Ansteuerschaltung ich dann verwende, um annähernd 
TTL-gerechte Pegel von dem Quarz für das DDS-IC zu bekommen (mit OP oder 
TTL-Bausteinen). Habe da mit selbstgebauten Oszillatoren noch zu wenig 
Erfahrung. Kann mir gut vorstellen dass ich da evtl. Oberwellen-Probleme 
bekomme. Muss da mal in meinen schlauen Büchern oder im Netz danach 
suchen... oder Du hast evtl. einen Vorschlag. Wäre super.

Hallo Tom,
das AD9834 gibt ein Rechteck-, Dreieck und ein Sinussignal aus, sind nur 
nicht gleichzeitig nutzbar. Die funktionieren dann von 1 bis zu 30MHz in 
0,25Hz-Schritten (Bei Verwendung des gesuchten Quarzoszillators). Leider 
braucht man dazu auch noch etwas Filter, da die Ausgangssignale des IC's 
ab ca. 5MHz keine so schöne Formen mehr hat. Andere, selbstdefinierte 
Signale aus einem eigenen Windows-Programm, lade ich mir über eine 
USB-Schnittstelle in ein schnelles RAM, welches mit Zählerbausteinen für 
die Adressen angesteuert wird. Die Zählerbausteine selbst takte ich mit 
dem AD9834. ...ich weiss, periodische Signale lassen sich dann nicht 
mehr mit 30MHz wiedergeben, was ich für meine Zwecke auch nicht brauche. 
Die Ausgangsfrequenz hängt dann ganz von der Sample-Dichte und der 
Auflösung ab. Sollte jemand das DDS-IC verwenden wollen, dann unbedingt 
auf folgende Bezeichnung achten: AD9834CRUZ-ND   Das geht nämlich bis 
75MHz!

Gruß
Markus

von eProfi (Gast)


Lesenswert?

Hier gab es schon verschiedene Ansäze zur Berechnung des 
Frequency-Wertes:
Beitrag "Rechnen mit AVR"

Kein Grund, eine "gerade" Frequenz zu verwenden. Du brauchst keine 
Division! Eine schnelle 32x32-->64 Bit-Mul habe ich dort gepostet.

Meines Erachtens ist Dein Ansatz für die Clockerzeugung der Zähler 
unglücklich gewählt, Stichword Jitter.
Das geht auch ohne den AD9834, der nicht von Atmel, sondern von Analog 
Devices (AD) ist. Wie ich aus Deines Posts herauslese, musst Du noch 
viel Erfahrung sammeln, bevor Du das so lösen kannst.
Im Prinzip ist der SI570 SI571 von Silabs genau das, was Du brauchst.
Evtl. noch ein programmierbarer Vorteiler, das kann sogar ein Timer des 
µCs sein.

Stichwort arbitrary waveform generator (AWG). Dazu gibt es in unserem 
Forum 44 Beiträge, z.B. 
Beitrag "Suche Lösung für Waveformgenerator mit frei wählbarem Signalverlauf"

Kennt jemand ein DDS-Chip, das ein RAM als LookUpTable hat?

von tom (Gast)


Lesenswert?

Hallo Markus,

ahh, ok, über externe Zähler und ein RAM leuchtet mir das ein. 
Allerdings finde ich dann die Erzeugung des Clocks per DDS sehr 
aufwändig. Ein einfacher Timer/Teiler würde es hier ja auch tun. Ist 
aber eine nette Idee. Bin gespannt auf Erfolgsberichte. Welche Typen an 
RAM und DAC gedenkst Du zu verwenden?

@eProfi?
Der Frage nach einem DDS Chip mit RAM als LUT kann ich mich nur 
anschließen. Sowas wäre echt schön. Bin gerade dabei, genau sowas mit 
einem CPLD umzusetzen.

von Markus (Gast) (Gast)


Lesenswert?

Hallo Leute,

erstmal vielen Dank für Eure Beiträge. Ich will es hier nochmals 
erwähnen, da mir jetzt schon ein paar mal von dem DDS-IC fraglich 
abgeraten wurde und es in meinem oberen Beitrag anscheinend übersehen 
wird.

Ich möchte die SINUS-, DREIECK- und RECHTECK-Signale des DDS-Chips 
nutzen. Warum? -> Weil ich die bis über 30MHz v. dem DDS-IC gratis 
geliefert bekomme. Wahrscheinlich gibt es noch eine bessere Methode die 
ich nicht kenne, möchte aber trotzdem gerne das DDS-IC benutzen, da ich 
schon mehrere davon habe und mein Versuchsaufbau (MC + DDS ohne Zähler & 
ohne RAM) wunderbar funktioniert nur mit einem falschen Quarzoszillator, 
wegen dem ich hier eigentlich nachfrage.

@tom,
das derzeitige Projekt entsteht nur so nebenbei wenn ich Abends/Nachts 
Zeit dazu finde. Habe mir das DDS mal aus USA zusätzlich in einer 
Bestellung einfach mal mitsenden lassen. Welches RAM, Zählerbausteine 
und Wandler ich nehmen werde ist noch nicht klar. Sorry.

-----------------------------
@eProfi,
erstmal vielen Dank für Deine Infos, aber bitte kritisiere nicht meine 
Erfahrung, da Du mich nicht kennst! Wieso schreibst Du:

>"Kein Grund, eine "gerade" Frequenz zu verwenden. Du brauchst keine
>Division! Eine schnelle 32x32-->64 Bit-Mul....."

Hier meine Antwort bzw. Bemerkung:
Ich brauche meine ausgewählte Frequenz um:
a) meine Ausgangsfrequenzen in 0,25Hz-Schritten erzeugen zu können.
b) Ich weiss dass ich keine Division benötige, deshalb will ich ja meine 
ausgewählte Oszillatorfrequenz.
c) Wieso soll ich eine aufwendige 32x32 But-Multiplikation benutzen, 
wenn ich den Wert für meine Ausgangsfrequenz einfach nur mit 4 
multiplizieren brauche, also einfach nur um zwei Stellen nach links 
rücken muss? Das habe ich weiter oben aber alles schon beschrieben, also 
bitte nochmal nachlesen.

Ach ja, nochmals wegen meiner Erfahrung: Meine bisher entworfenen 
Generatoren arbeiten nach dem hier beschriebenen Prinzip und das absolut 
zuverlässig. Ich denke ich habe daher, gegenüber Deiner Bemerkung, also 
genügend Erfahrung um das zu lösen! Vielleicht braucht so manch Anderer 
etwas an Erfahrungen...
----------------------------------

Sollte ich kein entsprechenden Quarzoszillator auftreiben, werde ich auf 
die von eProfi empfohlenen Silabs-Bausteine oder einen DS1086 zurück 
greifen.


Gruß
Markus (der ohne Erfahrung)

von tom (Gast)


Lesenswert?

Ui, immer schön locker bleiben Ihr zwei ;-)

Danke Markus für die Antwort. Ich dachte es mit fast, dass Du den 
Zugewinn durch Nutzung eines DDS-ICs zusätzlich nutzen willst.

Ich glaube, was eProfi hat einfach nur mal Kosten und Nutzen betrachtet 
und unter diesem Aspekt sind 10 Zeilen Code, die in den meisten Fällen 
nichts kosten, besser als mehr Gelt in den Oszillator zu stecken. Aber 
dazu kann ich nichts sagen, da ich nicht weiß, ob deine 0.25Hz Schritte 
allein durch Arithmetik und anderem Oszillator möglich sind.
Mit dem Jitter hat eProfi nicht ganz unrecht, dass ist schon ein 
Nachteil bei der Nutzung eines DDS-ICs. Ist aber alles eine Frage der 
Anforderungen und Ziele.

Sobald Du die Komponenten ausgewählt hast, kannst Du das hier ja nochmal 
posten. Ich habe leider bei den üblichen Verdächtigen (Reichelt, ...) 
noch kein geeigeneten DAC (vorzugsweise mit parallelem Interface und >30 
MSmpl/s) und RAM gefunden.

von tom (Gast)


Lesenswert?

was schreibe ich mir hier eigentlich zusammen, sorry, zu früh...

mit = mir
Gelt = Geld

von Markus (Gast) (Gast)


Lesenswert?

Hallo tom,
danke für Deine netten Worte, tut gut. Es ist richtig, speziell um die 
0,25Hz-Schritte zu bekommen brauche ich diese doofen 67,109MHz und kann 
das DDS damit fast bis zur max. Frequenz nutzen.

Wegen den Bezugsquellen greife ich bei etwas exotischen Bauteilen auch 
mal auf TME oder Digi-Key zurück. Die haben ein teilweise recht breites 
Spektrum an Bauteilen. Von da hatte ich vor einem Jahr auch das DDS 
bestellt. Ist zwar auch nicht ganz billig, aber auch nur wegen unserem 
Zoll.
Das mit dem Jitter ist vollkommen richtig, aber meine selbstdefinierten 
Signale bewegen sich weit im unteren Frequenzbereich (wegen max. Clock 
für Counter = 30MHz und der genutzten Samplingrate) und werden, je nach 
Parametrierung, entweder periodisch oder nur einmalig erzeugt. Daher 
spielt der Jitter keine so große Rolle mehr, da er sich relativ wenig 
bemerkbar macht. Diese "Erfahrung" ;-) habe ich mit meinen anderen 
Generatoren bereits gemacht.

PS: Habe grade mal schnell nach einem Counter gesucht. Von 
ON-Semiconductor gibts z.B. Zähler mit bis zu 900MHz (mc10e016). 
Vielleicht schicken die mir ja mal ein paar Samples. Das Ganze eilt ja 
nicht. Sollte ich nichts bekommen, kann ich ja zur Not bis 20MHz/30MHz 
Clock immer noch den uralten 593-TTL-Counter nehmen. Als DAC fand ich 
soeben Typen wie z.B. den ADV7127 (evtl. Samples?), den es z.B. bei 
Digi-Key gibt.


Gruß
Markus

von tom (Gast)


Lesenswert?

Danke für die Antwort. Vor Digi-Key scheue ich mich immer etwas wegen 
dem Zoll. Sammelbestellungen bieten sich da schon eher an.

Viel Spaß noch bei Deinem Projekt

von eProfi (Gast)


Lesenswert?

an Markus:
Entschuldigung, ich habe offensichtlich ein paar Deiner Äußerungen 
fehlinterpretiert.

Als "Wiedergutmachung" habe ich für Dich einen Artikel angefangen: 
[[Quarzoszillatoren mit programmierbarer Frequenz}} .
Auch meine Liste Quarzfrequenzen und Primfaktoren werde ich 
aktualisieren.
Ich vermute, dass der ELV-Oszillatore so ein programmierbarer ist.

NB: die genaue Frequenz ist 2y26=67108864
Der Mouser-Typ heißt FXO-PC735-67.108864 und wird bei Bestellung direkt 
vom Hersteller FOX (foxonline.com) programmiert und von Myers, Florida 
33905 USA ausgeliefert. Datenblatt:
http://www.foxonline.com/pdfs/FXO_PC73.pdf
Lustig zu lesen: auf S.10 wird auf µg gelistet, aus welchen Materialien 
das 140,3mg schwere IC besteht.


Digikey hat sie übrigens auch (evtl. Beteiligung an einer 
Sammelbestellung):
FXO-HC738-67.10886-ND
FXO-PC735-67.108864-ND
FXO-PC736-67.108864-ND

von eProfi (Gast)


Lesenswert?

Noch eine Frage, falls Du unbedingt ein DDS-IC verwenden willst:
brauchst Du wirklich eine Schrittweite von 0,25 Hz, oder willst Du sie 
nur, weil das Rechnen so einfacher wird?
Es ist nur minimal komplizierter, eine andere Frequenz zu verwenden.

Lies Dir diesen Thread (vor allem den Schluss) mal durch, da geht es um 
die Berechnung des Frequency-Wertes eines 400MHz-gespeisten DDS.
Beitrag "Frage zur C Syntax"

von Markus (Gast) (Gast)


Lesenswert?

Hallo eProfi,
ich weiss jetzt gar nicht wie ich anfangen soll. Am besten so:
1.) zum Entschuldigen gibts da gar nichts.
2.) bin fasziniert, wie ihr mir hier helfen wollt.
3.) bitte, bitte, bitte, steck(t) da wegen dieser doofen Quarzfrequenz 
nicht so viel Arbeit rein.
4.) ...tausend mal dankeschön für Deine/Eure Unterstützung.

Zu mir nochmal ganz kurz: Ich mache in den letzten Jahren ein bißchen 
viel mit unserem Haus rum, und habe deshalb nur Abends oder Nachts Zeit 
mich um mein Hobby zu kümmern. Habe das DDS auch schon seit ca. einem 
Jahr Zuhause rumliegen und bin erst jetzt dazu gekommen meinen 
Versuchsaufbau zu löten und den MC mit einem kurzen Assambler-Programm 
zu versorgen.

Die primäre Idee war eigentlich, mit Hilfe des DDS-IC's, meine alten 
Funktionsgeneratoren mit dessen Rechteck-Ausgangssignal zu versorgen und 
die weiteren Signale auch als Ausgangssiganl zu nutzen. Meine alten FG's 
erzeugen die Signale aus einem ROM, nur mit dem Nachteil dass die 
Clock-Regelung der MC über einen VCO erzeugt. Diese funktioniert zwar 
relativ gut, braucht aber etwas bis sie sich eingeschwungen hat. Ersetze 
ich nun den VCO & die Clock-Regelung in der FW und ersetze dies durch 
das DDS, hätte ich eigentlich das was ich brauche. Zusätzlich hätte ich 
dann auch noch Ausgangssignale mit höherer Frequenz. Das war der 
hauptsächliche Grund warum ich mir ein paar v. den DDS-IC's besorgte. 
Jetzt stellst Du Dir wahrscheinlich die Frage warum ich die Regelung der 
Clock über den MC analog ralisierte. ...ganz einfach: Die Dinger sind 
jetzt über 13 Jahre alt und damals hatte ich für die nötigen Infos noch 
kein Internet. Zu dieser Zeit an Infos zu kommen war relativ schwer und 
der Begriff DDS war mir damals noch völlig unbekannt.
Die neue weitere Idee war dann eben einen neuen FG nach dem alten 
Prinzip, nur mit dem DDS als Clock-Generator, ein weiteres RAM für die 
selbstdefinierten Siganle und eine USB-Schnittstelle für die 
PC-Kommunikation aufzubauen.

Jetzt noch zu Deiner Frage wegen den 0.25Hz. Da gibt es mehrere Gründe.
1.) Mit 67.109MHz lassen sich Schrittweiten für Ganzzahlige Frequenzen 
erzeugen. Das läßt sich zwar mit anderen Clock-Frequenzen auch, nur sind 
diese < 67.109MHz und begrenzen mir deshalb auch max. Bandbreite der 
Ausgangssignale.
2.) (muss jetzt die Hosen runterlassen) Ich habe noch keine 
Divisions-Routine die mit 64Bit arbeitet. Habe zwar im Netz schon mal 
kurz danach gesucht, aber noch nichts Passendes gefunden. Habe jetzt 
noch die Möglichkeit mir v. einem c-Compiler den Code erzeugen zu lassen 
(mein KEIL macht nur 4 Byte-Breite), oder selber einen zu basteln. Es 
sei denn Du hast evtl. eine Divisions-Routine in Assambler für ein 
8051-Derivat irgendwo in Deiner Schublade, die ich selbstverständlich 
liebend gerne übernehmen würde.
Nochmal zur Erinnerung:

Um mir das Problem mit den X,25-Schritten zu vereinfachen würde ich 
daraus einfach folgendes machen:

Sollte ich jetzt keinen Überlegungsfehler haben müßte das funktionieren, 
es muss halt einfach nur ein Wert > 100 sein. Ich bastle also einfach 
nur ein weiteres LSByte dazu und kürze es anschließende wieder weg. Das 
Problem ist jetzt eben, dass hier die Div-Routine 64Bit Werte 
verarbeiten können muss (z.B. der Zähler: 30MHz * 2^28 *256 = 
0x1C9C380000000000)
Ich selbst arbeite mit den DS89C420. Habe v. denen aus früheren Zeiten 
noch ein paar rumliegen. Die arbeiten mit 33MHz und benötigen für die 
meißten Befehle auch nur einen Clock, sind also nicht die langsamsten.

So, jetzt ist es spät, muss dann wieder früh raus.


Gruß
Markus

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.