Forum: FPGA, VHDL & Co. Global clock


von Kurt Peter (Gast)


Lesenswert?

hallo
ich bin neu bei vhdl und versuche eine global clock pin mit einem
ausgang zu berknüpfen. Out<=GCLK das funktioniert aber nicht
? Wie macht man den sowas?

von Jörn (Gast)


Lesenswert?

Wenn Du nur den Clock wieder ausgeben willst, würd ich das so machen:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity clock is
    Port ( clk : in std_logic;
           clk_out : out std_logic);
end clock;

architecture Behavioral of clock is

begin

clk_out<=clk;

end Behavioral;

Du musst nur noch dafür sorgen, dass das Pinassignment (Pin und Port
Zuordnung) stimmt

von Blubb (Gast)


Lesenswert?

wozu willst du den clk durch den cpld routen? macht doch erstmal keinen
sinn.

von Kurt Peter (Gast)


Lesenswert?

hab das genau so gemacht wie du das beschrieben hast
da kommt aber folgender ERROR:

ERROR:Pack:1107 - Unable to combine the following symbols into a single
IOB
   component:
     PAD symbol "clock" (Pad Signal = clock)
     BUF symbol "clock_IBUF" (Output Signal = cout_OBUF)
   Each of the following constraints specifies an illegal physical site
for a component of type IOB:
   Symbol "clock" (LOC=AA12)
   Please correct the constraints accordingly.

von Jörn (Gast)


Lesenswert?

was für einen Chip hast du benutzt? Welcher Pin ist der Eingang bzw.
Ausgang?

von Kurt Peter (Gast)


Lesenswert?

hab den chip Spartan II2 und hab den gck0 pin AA12 an dem der Clock
liegt benutzt und einen standart pin z.b E12
(der AA12 ist ein global clock pin)

von Jörn (Gast)


Lesenswert?

was für ein Gehäuse? 144 TQFP, BGA ?

AA12 Pin?
Ich kenn die Bezeichnung der Pins nur mit einem Buchstaben und danach
Zahlen, z.B. L12,M13 usw. Oder ist das doppelte A ein Tippfehler?

Gruß Jörn

von Kurt Peter (Gast)


Lesenswert?

Im Pace editor von Xilinx kann ich des AA12 aber eingeben.
und beim package view vom PACE sind die pinc auch vorhanden.
ich weiß jetzt nicht ganz genau was du mit gehäuse meinst.
ich hab den Xc2s300e fg456.

von Jörn (Gast)


Angehängte Dateien:

Lesenswert?

Sodele,

ich hab das Problem gefunden. Das Mappingtool erkennt nicht das es
einen Glocktreiber benötigt, da in der Schaltung nichts getaktet sind.
Daher erkennt es den Clkpin nicht und benutzt einen anderen Treiber.
Das Problem ist bei Xilinx dokumentiert.

Ich hab jetzt noch einen Zähler eingebaut. Nun wird der richtige
Treiber benutzt es das Design läuft durch.

GRuß Jörn

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.