Forum: FPGA, VHDL & Co. Fehlermeldung


von christian (Gast)


Lesenswert?

Egal welches Projekt, egal welche VHDL- Datei, es kommt beim Kompilieren
jetzt immer die Meldung:

Beispiel: PWM- Steuerung!

"ERROR:NgdBuild:605 - logical root block 'pwm' with type 'pwm' is
unexpanded
Symbol 'pwm' is not supported in target 'xc9500'"

Habe die Bezeichnungen von entity und architecture auch geändert, in
allen meinen Versuchen schon, Ergebnis ist immer das gleiche.
Was kann das sein?

Danke, christian

von OTobias (Gast)


Lesenswert?

kannst du mal den quellcode posten und mal näher beschreiben was du
damit vorhast. Etc. welches programm du benutzt, und ob die simulation
funktioniert hat in deinem Simulator, sonst kann man mit deiner
Fehlerbeschreibung nix anfangen.

G. Tobi

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.