Forum: FPGA, VHDL & Co. AVR-Like Reset bei Xilinx XC95144XL ?


von Thomas Faust (Gast)


Lesenswert?

Ich bin derzeit am basteln, was ich alles in einem XC95144XL (144
Macrocells) noch an meinem Progamm reinbekomme (SRAM-Steuerung, ADC
auslesen, schnelle Datenerfassung halt).
Dabei vermisse ich irgendwie die Möglichkeit, einfach über einen Pin
das CPLD wieder in den Start-Zustand zurückzusetzen, also alle Register
wieder auf 0 zusetzen und von vorne anzufangen.
Das nämlich alles als Programm implementieren kostet mir zuviel Platz,
und vom µC her gesteuert mit einem Relais die 3,3V abzuwürgen, kurz zu
warten un dann wieder anzulegen kann ja wohl auch nicht die eleganteste
Lösung sein.
Überseh ich da was vollkommen, oder gibt es sowas tatsächlich nicht,
auch in den Spezifikationen zum JTAG-Interface hab ich nix gefunden,
dass man das CPLD damit auf einfache Weise quasi zum Warmstart
überreden kann.

von Cpt (Gast)


Lesenswert?

Ich will jetzt mal nicht ausschließen, daß es sowas gibt ... aber ich
wüßte nicht wie.
Kostet es wirklich so viel Platz einen globalen asynchronen Reset für
die wichtigen Baugruppen zu implementieren?

von Jörn (Gast)


Lesenswert?

Wenn ich mich nicht irre hat die 95xxx Serie einen globalen Reset
(GSR-Pin). Diesen würde ich asychron verdrahten, wie Cpt schon erwähnt
hat.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.