Forum: FPGA, VHDL & Co. Fehler bei Signal Synthese


von MarkusG (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

ich hab ein stück VHDL Code angehängt, das bei der Synthese immer
folgende Fehlermeldung verursacht:

ERROR:Xst:827 - c:/dcf_decoder/DCF_Decoder.vhd line 21: Signal counter
cannot be synthesized, bad synchronous description.
-->

was mache ich denn da falsch ?

von Tobias (Gast)


Lesenswert?

Hallo,

versuch mal deine Signale nicht mit dem Typ BIT, sondern mit
std_logic,bzw. std_logic_vector zu beschreiben.

von Axel Meineke (Gast)


Lesenswert?

Rischdisch... das denke ich auch. BIT ist Pfui. Genau so wie Std_ulogic
Pfui ist ... :-)

von Hagen (Gast)


Lesenswert?

liegt aber nicht daran :)

dein Konstrukt sieht evreinfacht so aus

  if Clock'Event and Clock = '1' then
    Counter <= xyz;
  else
    Counter <= abc;
  end if;

das funktioniert nicht das einmal im oberen Teil der Count durch einen
Takt gesetzt wird und kontrahär dazu im unteren Teil der Counter ohne
Takt gesetzt werden soll.

Eben "bad synchronous description" -> "falsche synchrone
beschreibung".

Gruß Hagen

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.