Forum: FPGA, VHDL & Co. Übersetzen Verilog-Code in VHDL


von Markus (Gast)


Lesenswert?

Kann einer den Verilog-Code auf folgender Seite in VHDL übersetzen ?

http://www.fpga4fun.com/GraphicLCDpanel2.html

von AxelMeineke (Gast)


Lesenswert?

Na das ist nicht so einfach... Ich kann dir aus eigener erfahrung nur
empfehlen, die inizial isierung von Displays mit Picoblaze oder der
gleichen zu realisieren. Das ist nicht ganz so einfach direkt in VHDL.
Ich habe mmir da auch schon die Zähne dran ausgebissen. Ich denke
komplett übersetzen wird das für dich keiner.
Wenn du das dann mit Picoblaze realisierst, empfehle ich dir pBLazeIDE
als Simultor und entwicklungsumgebung.

Axel Meineke

von Markus (Gast)


Lesenswert?

Hi,

was genau ist "Picoblaze" ?
ist es auf bestimmte FPGAs beschränkt ?
was kann man damit machen ?
wo her kann man es beziehen ?

Ciao

von AxelMeineke (Gast)


Lesenswert?

Also Picoblaze ist ein Microcontroller den man in den FPGA integrieren
kann. Es gibt verschiedene Versionen für Spartan 2(E) und Spartan 3. Zu
programmieren ist er mit Assembler. schau mal bei www.picoblaze.com.
Wenn du dir das entsprechende Tool runterlädst, ist da auchdie
Dokumentation im Zip-ordner enthalten. ist etwas gewöhnungsbedürftig,
aber ne jklasse sache :-)
Ach ja aber sonst kannst du doch den Verilog-Code auch so benutzen.
Soweit ich weiss, erlaubt das Webpack auch eine kombination aus Verilog
und VHDL oder vertue ich mich da jetzt???

von AxelMeineke (Gast)


Lesenswert?

Ist dir damit geholfen??? Ansonsten hab ich noch mal eine VHDL_Lösung
für ein 16x2 Display gefunden. Aber das ist auch nicht das ,was du
gesucht hast, oder?

von Manfred Schäfer (Gast)


Lesenswert?

Hallo,

ich suche ein kostenloses Programm, mit dem ich Verilog-Code in
VHDL-Code übersetzen kann.

Gruß

Manfred

von FPGA-User (Gast)


Lesenswert?

@Markus

brauchst Du nur die 27 Zeilen bei dem Link oder alle
folgenden Seiten auch noch ?

von Henrik (Gast)


Lesenswert?

Falls deine Software auch mit Symbolen arbeiten kann, kannst du doch ein
Symbol aus der VHDL-Datei erstellen lassen, deinen Code in Verilog
schreiben, daraus ebenfalls ein Symbol erstellen und dann alles in der
Schematik zusammenfassen. Müsste eigendlich gehen...!(?)

Gruss Henrik

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.