Forum: FPGA, VHDL & Co. USBprog CPLD Starterkit Wie bauen?


von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Da trudelt das Teil ein:

http://shop.embedded-projects.net/index.php?module=artikel&action=artikel&id=638

aber ohne jegliche Bauanleitung für das Starterkit und für das USBProg 
3.3. Nicht einmal ein passendes Foto bei der USBProg, um die exakte Lage 
der beiden Steckverbinder zu erkennen.

Die Anleitung zum Download beschreibt ein völlig anders aussehndes 
USBProg. Und nirgendwo gibt es eine Anleitung zum Zusammenbau des 
Starterkits. Ich hab k. A. was wohin gehört. Auf der Platine sind auch 
keine Bauteilbezeichnungen. Ist wohl alles was für Hellseher ;-)

Hab ich die Bauanleitungen nicht erhalten oder irgendwo was übersehen?

Zu allem Ärger ist die Anleitung zur Inbetriebnahme hier

http://embeddedprojects.googlecode.com/svn/trunk/700343_CPLDStarterkit/Anleitung/700343_AnleitungCPLDStarterkit_V101.pdf

für Linux geschrieben. Nach langem Suchen finde ich auch etwas zu 
Windows, jedoch muß man sich alle Infos mühsam zusammenklauben. Bis 
jetzt habe ich noch immer keinen Durchblick, nur eine vage Vorstellung 
von allem.

Bin für jeden Hinweis dankbar.

von Markus H. (dasrotemopped)


Lesenswert?

Ah ja, das Testboard ist angekommen. Wenn du unter Windows vernünftig 
einsteigen willst, brauchst du unbedingt noch 3 Dinge :

Xilinx Ise Web Edition von der Xilinx Homepage.

Von Ebay ~40 Euro
"Xilinx USB Platform Cable"

VHDL-Synthese: Entwurf digitaler Schaltungen und Systeme
ISBN-13: 978-3486589870
Sehr praxisorientierter Einstieg.

Mit deinem XC9572XL Testboard kannst du damit voll in die Thematik 
einsteigen.

Zum ersten Erfolgserlebnis vielleicht mit einem kleinen 
Schaltplanprojekt in ISE eine LED zum Blinken bringen, aber halte dich 
da nicht zu lange dran auf, VHDL ist die bessere Zeitinvestition.

Es geht mit sicherheit auch anders, aber so habe ich es gemacht.

Mit dem Zusammenlöten des Boards, hast du mal ein Foto vom Bausatz für 
mich ?
Das Xilinx Platform Cable kannst du dir sparen, wenn dein USB Kabel zum 
Programmieren von ISE auch vollständig unterstützt wird.

Gruß,

dasrotemopped.

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

Hi, danke für Deine Tipps.

Ja, ich habs zusammengelötet, das USBprog 3.3 und grad eben das CPLD 
Board.

Nach langem Suchem im Netz fand ich ein paar nützliche Dateien, die ich 
hier beifüge.

Eins zeigt die 3.3 Version wie herum die Steckverbinder anzubringen 
sind. Die Eagle-Version vom Board zeigt alle Bauteile, wo was hinkommt 
und wie herum. Das Office-Dokument mit Suffix ods im Dateinamen zeigt, 
welche Bauteile/Werte zu den Bezeichnungen gehören.

Ich habs ohne ICs angeschlossen und die LED 4 leuchtet nach Anschluss 
des Netzteils. Nach Einbau der beiden ICs und des 1MHz Quarz-Oszillators 
bleibt sie auch noch an. Nun gehts ans Ausprobieren.

Btw, noch etwas zu den LEDS, falls man das nich weiß:
Auf dem Eagle-Board sind 4 LEDs mit einer Abflachung an einer Seite. Ich 
erhielt 3mm-LEDs, die keine Abflachung haben. Die Drähte sind aber 
unterschiedlich lang: kurz = Kathode, lang = Anode. Und die Abflachung 
gehört, wenn vorhanden, zur Kathode, also dem kurzen Draht. Kurzer Draht 
muss auf der Seite der Abflachung beim Einbau sein.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> Mit dem Zusammenlöten des Boards, hast du mal ein Foto vom Bausatz für
> mich ?

Mache ich heute Abend oder morgen früh, geht los.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> Xilinx Ise Web Edition von der Xilinx Homepage.
>
> Von Ebay ~40 Euro
> "Xilinx USB Platform Cable"

Ise hab ich, und für das Cable ist das USBProgh 3.3 schon dabei.

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

anbei die Fotos zum Startserkit CPLD und USBProg 3.3

von Markus H. (dasrotemopped)


Lesenswert?

der Zusammenbau hat doch gut geklappt. Jetzt kannst du mit ISE loslegen 
und den CPLD programmieren. Hab mir mal die Infos zum USBProg3.3 
angeschaut. Damit Xilinx ICs zu programmieren scheint nicht die 
Hauptanwendung für das Gerät zu sein. Bin gespannt auf deine 
Kompatibilitästests mit ISE unter Windows.

Gruß,

dasrotemopped.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

ich auch ;-)
Leider ist mir am Freitag meine SSD mit Win7 drauf kaputt gegangen. :-((
Bin eben erst wieder einigermassen davor, aber, es ist noch sehr viel zu 
tun. Daher hab ich momentan wenig Zeit :-( Wenns der Teufel will, 
klappen natürlich die aktuellen Sicherungen nicht. Nur eine von Januar 
:-((

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> der Zusammenbau hat doch gut geklappt.

danke, als Kommunikationselektroniker schaffen wir das auch noch ;-)

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

ISE ist installiert. Er fragte mich, was ich installieren will. K. A., 
darauf war ich nicht vorbereitet, also wurde das installiert, was er 
schon vorgewählt hat.

Jetzt will er License Key(s) haben. Ok, web pack ist unlimitiert. Hab 
ich angefordert. Die Evals hab ich erst mal aussen vor gelassen.

Seit über 20 Minuten warte ich nun auf den License file, den ich per 
Mail erhalten soll. Ist was für geduldige Menschen, nicht mich ;-)

File ist jetzt da, landete natürlich im Spam-Ordner. Ich kann auch, wenn 
ich kein Englisch kann, alternativ japanische Anweisungenzur Install des 
Keys erhalten ;-)

Ist installiert, schaun wir mal weiter.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Man ist es gewohnt:
Hardware kaufen, CD einlegen oder aber die aktuelle Treibersoftware 
runterladen.

Nicht so beim USBProg 3.3. Hier hab ich tagelang im Netz gestöbert, um 
das Teil unter Win7 64bit zum Laufen zu bringen und bin erfolglos 
zahlreichen nicht funktionierenden Hinweisen nachgegangen. Man wird 
förmlich erschlagen, was darüber so im Netz ist. Das Prob ist dort sehr 
gut und weltweit bekannt.

Endlich dann war das Teil im Gerätemanager nach erfolgreicher 
Treiberinstall unter "Jungo" zu finden.

"Jetzt kanns endlich losgehen!"

Die Freude war leider nur kurz und unberechtigt, die Sucherei ging 
nämlich weiter. Weder die USBProg GUI noch der Komanndozeileninterpreter 
fanden die Hardware. Auch IRES findet nix.

Ich gebe frustriert auf und werde als letzen Versuch den Support von 
embedded projects kontaktieren. Parallel dazu weiche ich aus und 
versuche das Ganze mal unter einem alten XP-Rechner. Hier hab ich auch 
Ponyprog und anderes zum Laufen gebracht was unter Win7 nicht wollte.

@dasrotemopped:
> Bin gespannt auf deine Kompatibilitästests mit ISE unter Windows.

Hab ich zuerst nicht kapiert den Satz, jetzt in der vollen Tragweite und 
darüber hinaus! :-( Du scheinst mehr zu wissen als Du mitteilst ;-)

Ok, ich beiß mich, wie angegeben durch und wünsche allen mit Win7 64bit, 
dass es ihnen mit dieser HW besser ergeht. Schade, dass es vermutlich 
nur wenige gibt, die ihre Erfahrungen damit mitteilen können. Oder die 
hab ich einfach nicht gefunden.

von Markus H. (dasrotemopped)


Lesenswert?

ich schrieb:
>Von Ebay* ~40 Euro
>"Xilinx USB Platform Cable"

du antwortest:
>Ise hab ich, und für das Cable ist das USBProgh 3.3 schon dabei.

es folgt die Erkenntnis :
>Hab ich zuerst nicht kapiert den Satz, jetzt in der vollen Tragweite und
>darüber hinaus! :-(

Das "Usb Platform Cable" funktioniert folgendermassen unter Windows 7 64 
Bit ( selbst mit meinem Kabel getestet ) :
ISE installieren, Kabel per USB anstecken, Treiber installiert sich, ISE 
erkennt den Xilinx Programmer.

Mir war das 40 Euro extra wert.

>Du scheinst mehr zu wissen als Du mitteilst ;-)

Es ist alles schon gesagt worden !
Und wenn du gleich das "USB Platform Cable" am Shoppen bist, vergiss 
nicht das ebenfalls von mir empfohlene Buch mitzubestellen. Zeit ist 
Geld.
Die Infos im Buch stehen aber auch gut verteilt im Internet, kein Grund, 
gleich Geld auszugeben.

Gruß,

dasrotemopped.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> Es ist alles schon gesagt worden !

Nur, dass ich beim Starterkit ein solches Teil dabei hab und man 
erwartet davon, dass es so, wie Du es für Deins beschreibst, auch 
klappt. Niemand kauft so etwas und kauft dann gleich ein weiteres 
grundlos. Ich fand auch von Dir keinen Hinweis, dass Du mir dazu geraten 
hättest, weil meins nicht funktionieren wird unter Win 7 64bit. Die 
Erkenntnis kam erst jetzt und ich bin auch gespannt, was embedded 
project antworten wird.

Zum Buch:
Ist leider schon seit einiger Zeit vergriffen. Ich schaue ab und an 
nach, ob es das wieder gibt. Erst einmal aber muss die Hard- und 
Software klappen, dann erst brauche ich ein Buch.

Nochmals dank für Deine Tipps.

von Markus H. (dasrotemopped)


Lesenswert?

Ich kenne den USB 3.3 Adapter von dir nicht, es steht in der 
Beschreibung allerdings drin, das er nur ein Player für FPGA 
Konfigurationsbinaries ist.
Ist hauptsächlich für ATmegas gedacht habe ich gelesen. "Kann man auch 
dafür benutzen" ist etwas ganz anderes als "ist speziell dafür gemacht".

Und hier das Forum ist voll von Beiträgen, wo der billigste Programmer 
für diverse ICs gesucht wird an statt gleich den empfohlenen vom 
Chiphersteller zu nehmen. Und die Liste der geschilderten Probleme ist 
ebenfalls episch ...

Gruß,

dasrotemopped.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> Ist hauptsächlich für ATmegas gedacht habe ich gelesen. "Kann man auch
> dafür benutzen" ist etwas ganz anderes als "ist speziell dafür gemacht".

Ist nicht speziell gemacht für etwas, wenn ich da so einiges lese:

Ein neuer Mikrocontroller und schon wieder ein neuer Programmer? Wer 
sich heute mit Controllern befasst, verfügt oft über ein ganzes Arsenal 
von Platinen und Adaptern zur Programmierung unterschiedlicher Chips. 
Damit macht der USBprog Schluss! Und als „Zugabe“ ist er auch noch als 
USB-I/O- und USB/RS232-Interface zu verwenden.

Dein Hinweis würde bedeuten, dass embedded projects nicht weiß, was sie 
tun, wenn sie das zusammen im Starterkit mit einem XILINX XC9572 
verkaufen.

Stand der Dinge: das Teil liess sich Plug and Play unter XP Home 32bit 
absolut problemlos nach Install von USBProg 0.2.0 installieren. Dennoch 
das Prob wie bei WIN 7 kein Zugriff darauf möglich mit SW.

Mit Win7 64bit bin ich dank Zadeg und libusb-win32 weiter gekommen und 
kann das Teil nun mit ISE und USBProg ansprechen. Schaun wir mal 
weiter...

von Mehmet K. (mkmk)


Lesenswert?


von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Mehmet Kendi schrieb:
> Scheint zumindest als Download lieferbar zu sein:

Vielen Dank für den Tipp! Ich gehöre zu denen, die Fachbücher lieber in 
die Hand nehmen. Ich kann (noch) warten, viell. taucht mal was 
Gebrauchtes auf...

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Hallo Markus,

Markus Horbach schrieb:
> Ich kenne den USB 3.3 Adapter von dir nicht, es steht in der
> Beschreibung allerdings drin, das er nur ein Player für FPGA
> Konfigurationsbinaries ist.
> Ist hauptsächlich für ATmegas gedacht habe ich gelesen. "Kann man auch
> dafür benutzen" ist etwas ganz anderes als "ist speziell dafür gemacht".
>
> Und hier das Forum ist voll von Beiträgen

...wie dieser hier:

Beitrag "Projekt: USBprog – ein Volks-Universalprogrammiergerät"

da wird u. a. auch XILINX genannt!

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Ok, Buch hab ich nun einfach mal über den Buchhandel bestellt. Soll 
wieder im Oktober verfügbar sein, dann hab ich eins. Falls jemand bis 
dahin eins an mich verkaufen möchte: Bitte melden.

Antwort vom Support embedded projects liegt auch vor. Negativ bzgl. Win 
7 64bit. Eindruck vom Support und embedded Project: Ebenfalls negativ, 
nicht zu empfehlen, meine Erfahrung. Dort kaufe ich nichts mehr.

@Markus Horbach:
Von Ebay ~40 Euro
"Xilinx USB Platform Cable"

Hab ich mir nun auch bestellt (müssen), damit es reibungsloser 
weitergehen kann. Embedded Projects ist keine Hilfe, zumindest nicht 
mehr dann, wenn man bereits gekauft hat. :-( So mein Eindruck.
Man empfiehlt mir eine Linux Install. etc. Ich soll "Linux lernen" um 
deren HW nutzen zu können. Das wurde vor dem Kauf nicht deutlich gesagt. 
Fraglich, ob die SW dafür auch durchweg für Linux zu haben ist.
Ich bin nicht gewillt, mich deshalb auch noch mit Linux zu beschäftigen. 
Ich hab mich ohnehin dafür schon mit Dingen beschäftigt, die m. E. Sache 
des Herstellers und nicht des Käufers sind. Die gerühmte "eierlegende 
Wollmichs.." ist wie immer reine Augenwischerei.

Aus Zeitmangel gehts damit bei mir im Moment nicht weiter.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Zur Linux Live-CD:

Man kann sich hier ein ISO-Image herunterladen:

http://prdownload.berlios.de/usbprog/USBprog.i686-0.3.0.iso

oder hier:

http://www.usbprog.org/downloads/USBprog.i686-0.3.0.iso

Leider landet man nach dem CD-Start und der Auswahl von "USBPROG" bei 
einem Login. Nach weiterer Recherche im Netz findet man die hier 
erforderlichen Zugangsdaten nicht bei den Downloads oder der Anleitung 
von embedded projects (wäre auch zu einfach), sondern hier:

http://susestudio.com/a/bF8wMX/usbprog

Falls das mal im Netz gelöscht wird und DU solltest es brauchen können, 
hier im Klartext:
user root, passwort linux oder user tux und passwort linux.

Damit gelangt man in einen weiteren prompt bei dem mir nichts andres 
einfiel als usbprog einzugeben. Die Antwort darauf bei mir war: 
"Couldn't resolve host www.ixbat.de". Ist offenbar eine 
Weiterleitungsadresse zu embedded projects. So geschehen nach Versuch 
beider Zugangsdaten (zwischendurch raus mit Eingabe von "exit" beim 
prompt).

Wie auch immer, offenbar muss man Linux lernen, um das brauchen und 
damit umgehen zu können. Ich finde, das ist zuviel verlangt, auch wenn 
das Ganze "nur" knapp Euro 60 gekostet hat. Weder die mitgelieferten 
Dateien zum Download für Linux noch weitere Recherchen im Netz, 
insbesondere auch für Windows, brachten die Hardware zum Laufen. Bei Win 
fehlt eine komplette Anleitung und die benötigten Dateien und Treiber an 
einer einzigen Stelle, bei Linux ist die Anleitung nicht komplett. Alles 
muss im Netz recherchiert werden, man greift auf Erfahrungen anderer 
User zurück. Dasselbe gilt für den Zusammenbau der Hardware. Nichts wird 
wie bei guten Bausätzen gewohnt mitgeliefert.

Das ist nur was für Profis die sich mit Windows-Interna und/oder Linux 
auskennen.

Btw, falls jemand nach all meinen Ausführungen dennoch Interesse an 
dieser HW hat: Ich bitte um Gebote. Der Bausatz ist bereits komplett 
zusammengebaut. Fotos siehe oben.

Frage an Euch: Was gibt es an CPLD Starterkits, die wirklich 
funktionieren?

Wobei bei diesem "nur" der Prommer "USBProg" das Problem ist. Über das 
CPLD-Board kann ich nichts sagen. Wäre gut, wenn es anders nutzbar wäre, 
aber, nein lieber doch nicht. Wer weiß, was da noch alles auf mich 
lauert.

von Markus H. (dasrotemopped)


Lesenswert?

Das von dir gekaufte Starterkit ist schon OK, nur fehlt der Original 
Programmer und das VHDL Handbuch. Das wird aber bei jedem anderen 
Einsteigerkit auch fehlen. Die Einsteigertutorials für CPLD/FPGA auf 
Pyroelectro.com kann ich nur noch mal ans Herz legen ! Dort wird die 
selbe Hardware verwendet ( XC9572 ) wie auf deinem Board.
Wenn Bayern nicht so weit weg wäre würde ich ja sagen, komm vorbei für 
ne kurze Einführung.
Vorlesungsunterlagen einer FH mit einer Einführung in ISE im Web googlen 
ist auch noch ein guter Einsteigertip :
http://www.elektrotechnik.uni-rostock.de/fileadmin/IEF_IMD/elektrotechnik/Sommerschule/Chip/ISEtutorial_114.pdf

Ansonsten, ich biete mal 10 Euro für das CPLD Board plus Porto, den USB 
3.3 aber bitte behalten ...

Gruß,

dasrotemopped.

PS: der erste Einstieg in programmierbare Logik ist hart, das musste ich 
auch feststellen, aber nur nicht locker lassen.

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

Danke Markus. Wie immer gute Tipps, die ich mir noch genauer anschauen 
werde.

Inzwischen ist das XILINX Platform Cable USB eingetrudelt (KP ca. 37,00 
Euros). Leider war es auch diesmal nix mit einfach anschliessen etc. und 
nutzen :-(

Erst einmal: Es gibt versch. Versionen (Models) von dem Teil. Das, was 
derzeit aus China via ebay erhältlich ist, ist Model DLC9G. Bei XILINX 
sieht man, dass es bereits einen Nachfolger dafür gibt Model DLC10 und 
der nennt sich auch etwas anders: Platform Cable USB II. Ich hab mal 
beide Beschreibungen hier hochgeladen. Der Unterschied zu beiden wird 
hier beschrieben oder zumindest vermutet:

http://forums.xilinx.com/t5/Xilinx-Boards-and-Kits/What-s-new-with-the-HW-USB-II-G-Platform-Cable/td-p/7398

Die JTAG Anschlüsse der CPLD Platine sind nicht passend zum 
mitgelieferten Kabel des Prommers. Ich hab 2 Strippen davon (rot und 
orange) komplett entfernt. Danach die Reihenfolge geändert am Stecker 
zur CPLD-Platine:

1 braun TDI
2 gelb VREF (weitere Änderung ist nötig, s. u.)
3 NC
4 NC
5 weiß TMS
6 NC
7 grün TCK
8 NC
9 blau TDO
10 schwarz GND
(NC = not connected, kein Kabel angeschlossen)

So bestückt, bekommt man schon mal die grüne LED statt der roten (oder 
bernsteinfarbenen lt. XILINX) zu sehen. Dennoch ist die Welt nur 
scheinbar in Ordnung.

Windows installiert die Treiber automatisch nach Anschluss des Platform 
Cable USB, ganz wie es sich gehört ;-) Ist auch jetzt im Gerätemanager 
zu finden. Sorry, ISE muss natürlich vorher installiert worden sein! Und 
man sollte das Kabel nicht vorher mal zur Probe anschliessen, sondern 
erst nach Install. der ISE-Software (die installiert die Treiber mit).

Nach Start von IMPACT wird zwar das Platform Cable USB erkannt, jedoch 
nicht der CPLD. Verschiedene z. T. irreführende Fehlermeldungen traten 
bei meinen Versuchen auf. Unter anderem, sinngemäß "too many devices", 
da TDO nur Nullen lieferte. Das Platform Cable USB benötigt für VREF die 
VCC (5V) von der Platine. Ich hab hierzu eine Drahtbrücke von 5V (liegt 
z. B. an R6) zum Pin 2 der JTAG Buchse gelegt. Danach lief die Erkennung 
einwandfrei. Anmerkung: Sollte die CPLD-Platine auch an anderen Prommern 
betrieben werden, ist es möglicherweise besser oder sicher nötig, wenn 
man diese Verbindung vorher wieder entfernt. Prommer-Schaltbild zu Rate 
ziehen.

Ich denke, nach weiterer Einarbeitung mit der Software und den Tipps von 
Markus kann es nun endlich weiter gehen.

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

Wegen des Umbaus für die VREF:
Dieser Artikel hat mir u. a. dabei gut geholfen:

http://forums.xilinx.com/t5/Xilinx-Boards-and-Kits/What-s-new-with-the-HW-USB-II-G-Platform-Cable/td-p/7398

Nachträgliche Anmerkung: Pin 2 der JTAG Buchse ist (erfreulicherweise) 
unbelegt an der CPLD-Patine, so dass der Umbau wie dargestellt erfolgen 
konnte.

Zur Anschlussbelegung USBProg/CPLD-Platine kann man auch die hier 
bereits hochgeladene Datei zu Rate ziehen

http://www.mikrocontroller.net/attachment/154765/DATENBLATT_USBPROG33.pdf

Ich nutze die aktuelle Version der ISE-Software 14.2. Meine Angaben 
passen zu den Versionen >= 10.1. Davor und für andere OS und andere 
Cable Models muss man evtl. anders verfahren und braucht die hier 
beigelegten Treiber. Dazu die ebenfalls hoch geladenen PDF ug344.pdf zur 
Install. des Kabels lesen.

von Markus H. (dasrotemopped)


Lesenswert?

schön das sich bei dir die ersten Erfolge zeigen.
Mach weiter so !
Bald kommt das erste Projekt mit der blinkenden LED.
So habe ich auch mein erstes Design getestet:
http://youtu.be/ncqamVNMrKM

Gruß,

dasrotemopped.

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

sorry, der Link war falsch:

Wegen des Umbaus für die VREF:
Dieser Artikel hat mir u. a. dabei gut geholfen:

Beitrag "Bitte um Hilfe bei letzten Problem mit JTAG."

und diese passen noch dazu:

Beitrag "Problem mit Xilinx Platform Cable USB clone"
Beitrag "Bausatz - Xilinx USB Platform JTAG Kabel"


@Markus: Blinklicht, mal sehn :-)

Nachtrag Steckerumbau:
Die Steckkontakte des Steckers lassen sich nach vorsichtigem Anheben der 
Kunststofflaschen mit spitzem Werkzeug einzeln rausziehen und 
umpositionieren.

Beim clone des Prommers ist leider das Flying wire set 
(HW-USB-FLYLEADS-G) nicht mit dabei. Habe ich hier:

http://www.mercateo.com/p/108A-697%282d%293456/Leitung_fuer_USB_II_Platform_Kabel_Herst_Teile_Nr_HW_USB_FLYLEADS_G.html

für ca. Euro 30 gefunden. Ist mir zu teuer. Beim clone sind noch zwei 
Kabel dabei, die ich nicht brauche. Ich überlege, ob ich aus einem davon 
daraus so etwas selbst herstelle. Ideen?

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?


von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> Bald kommt das erste Projekt mit der blinkenden LED.
> So habe ich auch mein erstes Design getestet

Ja, gibt es als Beispielprog neben "Lauflicht" in den DL-Dateien von 
embedded Projects. Nach einigen Versuchen mit dem "Project Navigator" 
und iMPACT erweckte ich die 3 LEDs auf dem CPLD-Board zum Leben und 
konnte auch die Funktion des Tasters testen. Beide Beispiele klappen wie 
vorgesehen.

Zwischendurch nochmal USBProg eine Chance gegeben, die er leider wieder 
verspielte ;-) Unsinniger Versuch, unsinnige Idee (falscher Zeitpunkt, 
viell. später nochmals?).

Als Elektroniker interessiert mich die Umsetzung von erstellten 
Schaltplänen. Das versuchen wir mal als nächstes neben dem Lesen von 
weiteren Tuts aus dem Netz. Viele davon nennen sich aber m. E. zu 
Unrecht Tutorial. Sie sind eher eine Anleitung dafür, wie man was zum 
Laufen bringt und erläutern auf dem Weg dorthin kaum etwas. Arbeiten 
nach "Schema F" ohne zu wissen, was man tut. Ich hinterfrage viel und 
will immer wissen, warum.

@Markus:
Danke für Dein Angebot, sind wir so weit auseinander? Wo?

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Um mit dem CPLD-Board umgehen zu können, brauchte ich die Pin-Belegung 
von der 34poligem Pfostenverbindung X3, wie auch die Anschlüsse für den 
Resettaster, Clk und den LEDs. Ich notiere das mal hier, da ich es 
nirgendwo finden konnte:

Pin X3 / Pin CPLD / Signal

 1    VCC
 2 42 IO2.14 / GTS1
 3  1 IO1.2
 4 40 IO2.1 / GTS2
 5 44 IO2.17
 6 43 IO2.15
 7  3 IO1.6
 8 39 IO2.9 / GSR
 9  2 IO1.5
10 38 IO2.8
11  4 IO1.8
12 37 IO2.6
13  8 IO1.15
14 36 IO2.5
15 19 IO3.14
16 35 IO2.2
17 18 IO3.11
18 34 IO4.17
19 20 IO3.15
20 33 IO4.15
21 22 IO3.17
22 29 IO4.14
23 24 IO4.2
24 28 IO4.11
25 25 IO4.5
26 27 IO4.9
27 26 IO4.8
28 GND
29 - 34 NC

Taster Reset liegt am CPLD Pin 12 IO3.5
CLK liegt am CPLD Pin 5 IO1.9 / GCK1
LED1 liegt am CPLD Pin  7 IO1.14 / GCK3
LED2 liegt am CPLD Pin  9 IO1.17
LED3 liegt am CPLD Pin 11 IO3.2

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

Erster erfolgreicher Test-Versuch: Binär-Vorwärtszähler mit den 3 LEDs 
auf diesem CPLD-Board. Eigener Umbau in Anlehnung an das Beispiel 
Lauflicht. LED1 = LSb, LED3 = MSb.

3 LEDs und ein Taster sind unbefriedigend, wird geändert ;-)

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

Das ist nun geändert (s. letzte Msg):
Ein 2 x 16 Zeichen-Display von Pollin habe ich an das CPLD Starterkit 
von embedded projects angebunden und dabei sogleich mehr 
VHLD-Erfahrungen gesammelt. Anbei das Ergebnis.

Der Spannungsregler wurde gegen einen leistungsfähigeren ersetzt, um 
auch das Display versorgen zu können.

Geholfen haben mir dabei u. a. die folgenden Seiten:
http://www.mikrocontroller.net/attachment/150182/code.txt
Beitrag "[VHDL] 16x2 LCD Textcontroller / HD44780"
Beitrag "Beschreibung eines Srams Fehler in VHDL-Code?"
http://www.lothar-miller.de/s9y/categories/16-Numeric_Std
http://www.geocities.com/dinceraydin/djlcdsim/djlcdsim.html
http://de.scribd.com/doc/49031100/HDL-Manual-2012-4th-Sem-10ESL48
u. v. m.

Verbesserungsvorschläge und konstruktive Rat- und Vorschläge sind gern
willkommen.

von Markus H. (dasrotemopped)


Lesenswert?

Du kommst ja schnell voran mit deinem Board.
Wie würdest du jetzt rückblickend sagen was empfiehlst du Einsteigern 
als Startausrüstung für den FPGA/CPLD Einstieg (Hardware/Software usw 
..) ?

Gruß,

dasrotemopped.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> Du kommst ja schnell voran mit deinem Board.

Geht so, mir geht es zu langsam, aber, die Kunden wollen ja auch ihr 
Geld loswerden ;-) Von daher habe ich nur wenig Zeit.

> Wie würdest du jetzt rückblickend sagen was empfiehlst du Einsteigern
> als Startausrüstung für den FPGA/CPLD Einstieg (Hardware/Software usw
> ..) ?

Da bin ich nach allem nicht sicher, ob man das nehmen sollte. Es gibt ja 
noch viele andere bis rauf zu mehreren 100 Euros, die ich gar nicht 
kenne. Und dieses hier bietet nicht viele vorhandene Möglichkeiten. Man 
muss selbst erweitern.

Pollin hatte ja auch eins, ist aber derzeit nicht mehr lieferbar. Ich 
konnte kürzlich noch eins via ebay ergattern, :-) das ich noch 
zusammenbauen muss etc. Mal sehn, was das bringt. Viell. komme ich heute 
dazu den Lötkolben zu schwingen.

Bei FPGA kann ich nicht mitreden, beide Boards haben keinen FPGA, 
sondern XC9572 bzw. XC95144 (Pollin).

Als Software kenne ich nur ISE und da kenne ich mich leider noch nicht 
so gut aus, wenn ich sehe, was die SW alles noch so kann. Da hab ich 
noch viel zu lernen/üben.

Das Buch scheint es schon wieder zu geben, sah ich im Netz. Mein 
Buchhändler hat mich leider noch nicht angerufen, bei ihm dauert es wohl 
länger als via Internet. Da hake ich nochmal nach.

Spaß macht es auf jeden Fall. Ideen hab ich auch genug. Mehr als Zeit 
;-)

Mich würde auf jeden Fall interessieren, was erfahrene User über mein 
kleines Prog meinen. Sicher kann man was besser machen. Ist viell. sogar 
was falsch?

von Duke Scarring (Gast)


Lesenswert?

Karl-Heinz M. schrieb:
> Mich würde auf jeden Fall interessieren, was erfahrene User über mein
> kleines Prog meinen. Sicher kann man was besser machen. Ist viell. sogar
> was falsch?

Sieht doch gar nicht so schlecht aus:

Du hast ieee.numeric_std.all verwendet, sehr gut.
Du hast offenbar keine Probleme gehabt, das richtige Timing für's 
Display zu erzeugen, sehr gut.
Der Code ist kommentiert, sehr gut.
Du hast die Suchfunktion genutzt, sehr gut.

Meine Anmerkung:
Wenn Du mal was auf FPGA's machst, ist es für die Verfikation und das 
Timing schwierig mit abgeleiteten Takten zu arbeiten (ala 
(rising_edge(dispclk)). Auf dem CPLD würde ich wegen der begrenzten 
Ressourcen da noch ein Auge zudrücken, ansonsten sind clock_enables die 
bessere Wahl.

Ein paar Leerzeilen im Code machen Ihn auch etwas übersichtlicher.

Und später machst Du aus der Variable auch noch eine State Machine mit 
getrennter Initialisierung, damit Du auch mal was anderes auf dem 
Display ausgeben kannst.

Duke

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Markus Horbach schrieb:
> VHDL-Synthese: Entwurf digitaler Schaltungen und Systeme
> ISBN-13: 978-3486589870

Das ist die alte Auflage 5 von 2009 und die ist wohl derzeit vergriffen. 
Via Amazon kann man da noch gebraucht was bekommen, hab ich soben erst 
mal gemacht, denn der Verkauf der neuen Auflage des Buches Auflage 6 von 
2012 verschiebt sich erneut auf November 2012. Ich warte trotzdem auf 
die neue Auflage und kaufe auch die. Neue Auflage s. hier:

http://www.oldenbourg-verlag.de/wissenschaftsverlag/vhdl-synthese/9783486716771

ISBN-13: 978-3486716771

@Duke
Dank Dir für Deine Mühe! :-)) hat mich gefreut. Deine Ratschläge werden 
bei den nä. Progs berücksichtigt. Hatte mehr niederscmetternde Worte 
erwartet ;-)

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

Es wird Buchstabe für Buchstabe angezeigt, wie bei einer langsamen 
Schreibmaschine (2-Finger-Suchsystem ;-).

Es dauert ca. 524mS bis das nächste Zeichen erscheint. 12 angezeigte 
Zeichen benötigen insgesamt ca. 6,3 Sek. Es ergibt sich eine Wartezeit 
von ca. 2,1 Sek. (Standzeit aller 12 Zeichen) bis die Zeile gelöscht ist 
und das Ganze von vorn beginnt.

In der Wartezeit sind 4 Steuerzeichen (s. VHDL) das letzte Steuerzeiche 
ist ein "CLS".

Kanal 1 oben zeigt den Signalverlauf RS, Kanal 2 den Signalverlauf von 
Enable.

von Xyz X. (Firma: xyz) (khmweb)


Angehängte Dateien:

Lesenswert?

Dasselbe nochmal, aber mit dem CPLD-Pollin-Board. LCD-Display am 
CPLD-Pollin-Board. Dieselbe VHDL-Datei wie oben fürs CPLD-Board von 
ebedded projects genutzt, jedoch Änderungen in der UCF-Datei, da die 
Pinbelegung anders ist. Das Pollinboard nutzt einen XC95144XL.

Weitere Infos zum Pollinboard:
Taster B0 liegt am CPLD-Pin 42 FB5 MC12
Taster B1 liegt über JP1 am CPLD-Pin 43 FB5 MC14
Taster B2 liegt über JP2 am CPLD-Pin 46 FB5 MC15
Taster B3 liegt über JP3 am CPLD-Pin 49 FB5 MC17
LED0 kann aktiviert werden über CPLD-Pin 96 (FB4 MC15)
LED1 kann aktiviert werden über CPLD-Pin 97 (FB4 MC17)
LED2 kann aktiviert werden über CPLD-Pin 35 (FB5 MC2)
LED3 kann aktiviert werden über CPLD-Pin 36 (FB5 MC5)
LED4 kann aktiviert werden über CPLD-Pin 37 (FB5 MC6)
LED5 kann aktiviert werden über CPLD-Pin 39 (FB5 MC8)
LED6 kann aktiviert werden über CPLD-Pin 40 (FB5 MC9)
LED7 kann aktiviert werden über CPLD-Pin 41 (FB5 MC11)

clk1 (QG1) liegt am CPLD-Pin 22 GCK1 I/O
clk2 (QG2) liegt am CPLD-Pin 23 GCK2 I/O

Das Pollinboard liess sich wesentlich einfacher zusammenbauen, da alle 
Bauteile auf dem PCB aufgedruckt sind. Das Board konnte auch problemlos 
am XILINX Paltform Cable USB angeschlossen werden, da eins der beim 
Prommer mitgelieferten Kabel ohne Modifikation 1:1 passte. Eine 
Programmierung des Boards war sofort problemlos möglich.

von Xyz X. (Firma: xyz) (khmweb)


Lesenswert?

Duke Scarring schrieb:
> Wenn Du mal was auf FPGA's machst, ist es für die Verfikation und das
> Timing schwierig mit abgeleiteten Takten zu arbeiten (ala
> (rising_edge(dispclk)). Auf dem CPLD würde ich wegen der begrenzten
> Ressourcen da noch ein Auge zudrücken, ansonsten sind clock_enables die
> bessere Wahl.

Du meinst so:
1
constant cnt_div: integer:=16;                  -- Teilerverhältnis
2
signal   cnt:     integer range 0 to cnt_div-1; -- Zähler für Teiler
3
signal   ce:      std_logic;
4
5
-- die Prozesse logischerweise nach begin der Achritecture
6
-- Prozess mit langsamen Clock Enable
7
 
8
process(clk)
9
begin
10
  if rising_edge(clk) then
11
    if ce='1' then
12
 
13
    -- Aktionen hier einfügen, welche mit langsamen Takt laufen    
14
 
15
    end if;
16
  end if;
17
end process;
18
 
19
-- Clock Enable Generator
20
 
21
process(clk)
22
begin
23
  if rising_edge(clk) then
24
    if cnt=cnt_div-1 then
25
      ce  <= '1';
26
      cnt <= 0;
27
    else
28
      ce  <= '0';
29
      cnt <= cnt +1 ;
30
    end if;
31
  end if;
32
end process;

Gefunden hier:
http://www.mikrocontroller.net/articles/Taktung_FPGA/CPLD#Clock_Enable
Kann ich jetzt nachvollziehen. Wenn ich zum FPGA komme, erwischst Du 
mich sicher erneut aus Vergesslichkeit ;-)

von fatih (Gast)


Lesenswert?

hi
R5F2136CAN mcu eeprom flash programmer need?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.